半导体行业深度报告:先进封装价值量提升叠加需求回暖,封测产业链机遇将.pdf

2023-08-20
1页
1MB

一、先进封装:后摩尔时代提升系统性能的关键路径

1.1、摩尔定律放缓,先进封装接力先进制程助力持续发展


摩尔定律发展放缓,集成电路产业寻求新的发展路线。根据摩尔定律,集成电路上可以容 纳的晶体管数目在大约每经过 18 个月到 24 个月便会增加一倍,处理器性能大概每两年 翻一倍,同时价格下降为之前的一半。集成电路产业主要沿着两条技术路线发展:一是延 续摩尔定律,芯片向小型化发展。通过缩小 CMOS 器件的晶体管尺寸来增加芯片的晶体管 数量,进而提升芯片性能。二是超越摩尔定律,采取先进封装技术将模拟、光电、传感等 集成在一个系统内,实现系统的性能提升和功能融合。目前,先进制程工艺逐渐逼近物理 极限,越来越多的厂商开始将研发方向由先前的“如何把芯片变得更小”转变为“如何把 芯片封得更小”,先进封装逐渐成为行业发展重点。


先进封装正进入快速发展的阶段。集成电路封装行业大致划分为五个发展阶段。第一阶段 为通孔插装时代,以 DIP、SIP 技术为代表。第二阶段是表面贴装时代,该阶段以 LCC、 SOP 为代表,用引线替代第一阶段的引脚并贴装在 PCB 板上,相对而言封装体积减少、封 装密度有所提高。第三阶段是面积阵列时代,开始出现 BGA、CSP、FC 等先进封装技术, 这一阶段是目前全球封测厂商所处的主流技术阶段,此阶段引线已被取消,在封装体积大 幅缩减的同时提升了系统性能。封装技术的第四阶段,工艺从单芯片变为多芯片、从封装 元件演化为封装系统,MCM、SiP、Bumping 等技术发展迅速。此后,微机电机械系统封装 (MEMS)、硅通孔(TSV)、扇出型封装(Fan-Out)等立体结构型封装技术相继出现,带动 封装产业链进入复杂集成时代。




对比传统封装技术,先进封装 I/O 数量多、体积小且高度集成化。在传统的封装技术中, 晶圆被切割后通过引线键合的方式实现互联,起到保护芯片的作用。而外部封装则是通过 导线架或导线载板与 PCB 基板进行连接,这种封装形式结构简单、成本低廉。但随着集成 电路产业的高速发展,市场对于电子设备的小型化、系统化和信息传递速度等的要求不断 提高,先进封装逐渐成为行业主流技术。先进封装运用凸块等工艺,采用倒装等键合方式 替代传统的引线键合,在缩短互联距离的同时提高 I/O 密度,具有更高的存储带宽和更好 的散热效率。同时封装对象由单裸片发展为多裸片,芯片组合由单类型、平面排布向多功 能、立体堆叠演变,显著提高了封装空间利用率和芯片系统性能。


集成电路发展受阻,先进封装或为破墙首选。当前集成电路的发展面临着“存储墙、面积 墙、功耗墙以及功能墙”四座高墙的制约。 存储墙:全球计算算力约每两年增长 3.1 倍,而存储带宽每两年增长 1.4 倍,存储器 带宽增长速度明显落后处理器。为了突破“存储墙”,业界提出了近存计算方案,通 过先进封装为基础的超短互连技术实现存储器和处理器间的近距离数据搬运,其算 力和精度更高。 面积墙:目前光刻机所能支持的最大曝光区域面积是 26mm*33mm,增加光罩面积来提 升晶体管集成数量的话方案成本极高,而采用先进封装技术集成多颗芯片则是目前 主流的低成本破局方案。 功耗墙:随着芯片算力需求的提升,GPU/CPU 芯片热设计功耗逐年增大,或将突破千 瓦级,需更为先进的冷却技术以支持散热需要。功能墙:在单个芯片衬底上可实现的功能有限,通过先进封装的多芯片异质集成技术 将计算、存储、传感等功能元件集成起来,可以突破单衬底的功能限制。


1.2、先进封装发展迅速,各路线百花齐放


集成电路封测市场规模逐年增长。根据 Yole 及集微咨询的统计数据,2022 年全球封测市 场规模为 815.0 亿美元,同比增长 4.9%,预计到 2026 年市场规模有望达 961.0 亿美元, 2022 年-2026 年 CAGR 为 4.2%。中国大陆作为封测产业的三大市场之一,市场规模呈增长 趋势。据中国半导体行业协会以及集微咨询数据,2022 年中国大陆封测市场规模为 2995.0 亿元,预计到 2026 年市场规模有望达 3248.4 亿元。


先进封装市场规模及占比持续提升,中国大陆先进封装占比有望不断提高。据 Yole 及集 微咨询数据,2022 年全球先进封装市场规模为 378.0 亿美元,到 2026 年全球先进封装市 场规模达 482.0 亿美元,2022 年-2026 年全球先进封装市场规模 CAGR 为 6.3%,先进封装 占比有望突破 50%。中国大陆的先进封装市场规模有望快速成长,据中国半导体行业协会 统计及集微咨询数据,2020年中国大陆先进封装市场规模为903亿元,市场占比仅为36%, 预计 2023 年中国先进封装市场规模预计达 1330 亿元,2020-2023 年 4 年的复合增长率约 为 13.8%。但是,目前国内先进封装市场占比仅为 39.0%,与全球先进封装市场占比(48.8%) 相比仍有较大差距,有较大提升潜力。


先进封装市场以倒装工艺为主,未来 3D 先进封装技术占比将进一步提升。根据 Yole 及集 微咨询数据,倒装(FC)封装技术是目前市场份额最大的板块,2022 年全球倒装封装技术 市场规模为 290.9 亿美元,占比达 76.7%,到 2026 年其市场规模有望增加至 340.32 亿美 元。其他高阶的封装形式(如 Fan-Out、3D Stacked)占比将有所提升,其中 3D Stacked 技术市场规模增长速度最快,2019 年-2026 年期间的复合年增长率为 22.7%,预计 2026 年 市场份额将达到 15.3%。


先进封装的四大要素推动着封装技术向连接密集化、堆叠多样化和功能系统化方向发展。


1)凸块(Bump)技术运用于倒装封装中,是早期先进封装区别于传统封装的一个显 著特征。该工艺通过在晶圆或芯片表面焊接球状或柱状金属凸点来实现界面间的电 气互联和应力缓冲。随着技术进步,凸块尺寸越来越小,发展出不需要凸块的混合键 合(Hybrid Bonding)互联方式,连接密度大幅提升。 根据凸块材料的不同,凸块工艺可分为四类: 1.金凸块工艺:(1)溅镀,用高速离子对金属进行轰击,使其表面沉积一层金属层; (2)上胶,在晶圆表面涂一层光刻胶,再通过光模板进行曝光,浸入显影液后胶部 分溶解,从而在光刻胶上对凸块位置开窗;(3)电镀,将晶圆浸入电镀液,在电流差 的作用下金属离子移动到开窗位置形成凸块;(4)去胶、蚀刻,去除多余的光刻胶并 通过蚀刻去除凸块周围的金属层。 2.铜柱凸块工艺:(1)再钝化,在晶圆上的凸块位置附近涂抹聚合物或金属形成钝化 层,以提供芯片保护及结构支撑作用;(2)溅镀;(3)上胶;(4)电镀;(5)去胶、 蚀刻;(6)回流,运用助焊剂对焊料进行多次回流,形成光滑的截球形凸块。




3.铜镍金凸块工艺:工艺流程与金凸块工艺流程相似,区别在于(1)铜镍金凸块的 表面面积更大,改变了芯片的部分线路结构,键合灵活性更高;(2)凸块中铜占比较 高,大幅降低成本和导通电阻。


4.锡凸块工艺:工艺流程与铜柱凸块工艺流程相似,区别在于(1)球体体积更大, 是铜柱凸块尺寸的 3-5 倍,可焊性更强(也可以采用电镀工艺回流形成大直径锡球); (2)分为电镀焊锡和植球焊锡两类,前者尺寸更小,可用于小尺寸封装,后者使用 更大的焊锡球来形成接点,可以增加元件与基板底材之间的距离,缓冲基板与元件间 因热膨胀差异而产生的应力,增加元件的可靠性。


2)重布线层(RDL)技术是用于水平方向电气延伸和互联的技术。由于 I/0 触点通常 分布芯片四周,如果直接进行倒装封装会因为引线过少或过密影响连接效果,而 RDL 通过对芯片上的触点进行重新布局和导电,改变芯片管脚的分布或将管脚引出到外 围宽松的区域,从而降低封装难度并增加 I/O 引脚数量。 RDL 工艺需要曝光、PVD 等设备,具体工艺流程如下:(1)再钝化形成绝缘层并开口; (2)利用旋涂膜技术涂覆烘烤后形成种子层;(3)上光刻胶,曝光显影后形成线路 图再电镀铜垫;(4)去胶、刻蚀;(5)第一层布线完成后重复步骤,开始形成第二层。


3)晶圆(wafer)技术是先进封装在封装对象层面实现突破的工艺基础。在传统封装 中,裸片先进行切割分片再各自封装,而晶圆级封装(WLP)则是在晶圆的基础上直 接封装再进行切割分片,封装面积与裸片一致,可以提高封装效率并降低封装成本。


4)硅通孔(TSV)技术是在垂直方向上进行电气延伸和互联的技术,也是实现三维立 体堆叠和系统集成的基础。该技术通过在硅中介层或芯片中插入垂直的金属填通孔, 短距离连接上下层芯片,可以实现高带宽低延时的信息传递,被大量应用于系统级封 装(如 2.5D/3D 封装)。 TSV 工艺需要 DRIE、CVD、PVD、CMP 等设备,具体工艺流程如下:(1)通孔刻蚀,运 用激光刻蚀、湿法刻蚀或深反应离子刻蚀技术在硅片上打孔;(2)在硅孔内形成绝缘 层,防止通孔间漏电或串扰;(3)运用物理气相沉积等方法形成阻挡层和种子层;(4) 运用电镀工艺在通孔内填充铜、钨、多晶硅等金属材料;(5)运用 CMP 工艺对晶圆片 进行抛光减薄;(6)使用粘合剂、金属或氧化物实现多层硅芯片的堆叠和键合。


倒装封装:是直接在芯片 I/O 焊盘上或 RDL 重布线层上沉积凸块,然后将芯片电气面朝 下,倒扣在封装衬底上实现电气互联的封装技术。与传统封装引线键合(Wire Bonding) 方式相比,倒装技术大幅缩短了互联距离,电阻电感更小,芯片电性能和散热性更好。同 时紧凑的结构排布使得封装具有更小的尺寸和更强的抗冲击性,对于移动设备和工业应用 等领域具有重要意义。


晶圆级封装:是对整片晶圆进行封装测试后再切割得到单个成品芯片的技术。对比传统封 装先切割晶圆再逐个封装的流程,晶圆级封装技术直接在晶圆上完成封测程序后进行批量 化切割,封装与芯片制造融为一体,大幅缩减生产成本。同时该类封装不需要引线框架、 基板等介质,可以最大程度地提高封装效率,封装后的芯片尺寸与裸片一致。 扇出型封装:晶圆级封装分为扇入型封装(Fan-in)和扇出型封装两种,扇入型封装利用 RDL 层将电信号向内扩展至芯片中心,封装尺寸基本等于芯片尺寸,可容纳的 I/O 数量较 少,多用于小型便携产品。但随着技术进步,对于芯片 I/O 数量的要求不断提升,扇出型 封装应运而生。扇出型封装是在芯片的范围之外利用 RDL 重布层,将电信号向外扩展至芯 片外的区域(扇出区),因此可以连接更多引脚。相比于扇入型,扇出型封装具有更好的 扩展能力、电气性能和热性能,多用于基带处理器、射频收发器、5G、医疗器件处理器等 低耗高频高速的设备中。


2.5D/3D 封装:2.5D 封装和 3D 封装是多芯片立体堆叠的封装技术。两者的主要区别在于 电互联的实现方式,2.5D 封装是在中介层(interposer)上打孔布线来展开水平互联,3D 封装则是直接在芯片上打孔布线实现垂直方向的上下层连接。从制造结构来看,2.5D 封 装的芯片倒扣在中介层(interposer)之上,通过一系列的微凸块和硅通孔实现不同功能 裸片和基底之间的连接,具有高密度、低功耗和低延迟的特性。而 3D 封装不需要中介层, 芯片通过 TSV 多层垂直堆叠直接实现高密度互连,提高了 IC 的性能;同时因为它允许更 加紧凑的布线设计,减少了信号传输的阻力,降低了 IC 的功耗。 系统级封装(System in Package,SiP):是将多种功能芯片(包括处理器、存储器等)集 成在一个封装内,从而实现完整功能的封装方式。目前电路集成化的实现主要有系统级封 装和系统级芯片(System on a Chip,SoC)两条技术路径。SoC 是将具有不同功能的元 器件整合在单个芯片中的技术,一颗芯片即为一个高度集成系统,其信息传递效率更高、 体积更小,缺点在于其设计开发的周期更长,技术性要求更高,开发成本更高,因此多应 用于对运算功能要求高的高单价 GPU、HPC 等。而系统级封装是将单颗功能复杂的 SoC 集 成芯片剥离成多个具有特定功能的芯片(Chiplet),再采用 TSV、interposer 等工艺形成多功能异质异构的封装,其开发周期更短、良率更高、成本更低,是目前平衡功能与经济 效益的最优选择。


1.3、Chiplet 助力 AI 算力芯片持续发展


Chiplet 提升大芯片制造良率,降低生产制造成本


经 Chiplet 架构设计后,不同的 die(芯片裸片)之间采用先进封装互联。Chiplet 指小 型模块化芯片,通过 die-to-die 内部互联技术将多个模块芯片与底层基础芯片封装在一 起形成一个整体的内部芯片。与 SoC 不同,Chiplet 将不同模块从设计时就按照不同计算 或者功能单元进行分解,制作成不同 die 后使用先进封装技术互联封装,不同模块制造工 艺可以不同。




Chiplet 相比传统 SoC 芯片优势明显。Chiplet 能利用最合理的工艺满足数字、射频、模 拟、I/O 等不同模块的技术要求,把大规模的 SoC 按照功能分解为模块化的芯粒,在保持 较高性能的同时,大幅度降低了设计复杂程度,有效提高了芯片良率、集成度,降低芯片 的设计和制造成本,加速了芯片迭代速度。


HBM 的应用解决了内存速率瓶颈


HBM(High Bandwidth Memory)即高带宽存储器,其通过使用先进的封装方法(如 TSV 硅 通孔技术)垂直堆叠多个 DRAM。在高性能计算应用对内存速率提出了更高的要求的背景 下,使用先进封装工艺的 HBM 很好的解决了传统 DRAM 的内存速率瓶颈的问题。HBM 内部 的 DRAM 堆叠属于 3D 封装,而 HBM 与 AI 芯片的其他部分合封于 Interposer 上属于 2.5D 封装。


堆叠子模块,提升计算性能


Chiplet 支持多颗计算 die 合封于同一芯片,通过堆叠实现处理能力的提升。AMD 于 2023 年 6 月发布了 MI300 产品,该芯片拥有 13 个小芯片,共包括 9 个 5nm 的计算核心(6 个 GCD+3 个 CCD),4 个 6nm 的 I/O die 兼 Infinity Cache(同时起到中介层的作用,位于计 算核心和 interposer 之间),同时还搭载了累计 8 颗共计 128GB 的 HBM3 芯片。


助力国产半导体厂商突破海外制裁


Chiplet 技术发展潜力大,有望助力国产半导体厂商突破海外科技领域制裁。2020 年美国 将中芯国际列入“实体清单”,限制 14nm 及以下制程的扩产,导致国产 14nm 制程处于存 量市场无法扩张。Chiplet 技术可部分规避海外限制,向下超越封锁:1)Chiplet“化整 为零”,将单颗芯片裸片面积缩小,使坏点出现时对整体晶圆的影响缩小,即良率提高, 因此在国内 14nm 产能为存量的局面下提升了实际芯片产出。2)Chiplet 可仅对核心模块 如 CPU、GPU 采用先进制程,对其他模块采用成熟制程,有效降低对先进制程的依赖,减 少了 14nm 晶圆的用量。3)Chiplet 可通过将两颗 14nm 芯片堆叠互联,单位面积晶体管 数量翻倍,实现超越 14nm 芯片的性能。因此 Chiplet 技术成为中国半导体行业实现弯道 超车的逆境突破口之一。


二、行业周期:触底持续进行,底部反转或将到来

封测厂营收与半导体销售额呈高度拟合关系。从产业链位置来看,封测属半导体产业链中 位置相对靠后的环节,封测厂生产的产品将成为最终产品形态并进入设计厂商库存。因此, 在库存水位较高的情况下,受 IC 设计厂商砍单影响,封测厂商表现会相对较弱,业绩出 现明显下滑;但若当下游需求好转情况下,IC 设计厂商会优先向封测厂商加单,加工处理 之前积累的未封装晶圆,进而推动整体产业链从底部实现反转。从规模上看,封测厂营收 趋势与全球半导体销售额基本一致。




下游出货量持续低迷是半导体景气度下滑的重要因素。按下游占比来看,移动通信和计算 机是半导体最大的两个下游应用终端产品,移动通信约占 30%,计算机终端市场约占 26%, 汽车、工业和其他消费类电子占据了其余部分。而手机和电脑的出货量持续低迷,处在持 续探底的过程中,根据 Gartner2023 年 1 月发布的预测数据,2023 年全球 PC、智能手机 出货量分别为 2.68 亿台、13.4 亿台,较 2022 年分别-6.5%、-4.0%。


国内芯片设计公司整体库存维持在较高水位,部分公司库存拐点已现。受供需双重作用, 国内芯片设计上市公司在 2021 年-2022Q3 库存水平持续攀升。从供给端来看,自 2020 年 受特殊因素影响,全球出现芯片短缺,各大半导体制造企业积极扩产。伴随新产能陆续释 放,全球半导体产能出现供给过剩。从需求端来看,受外部环境及美联储加息等影响,下 游消费需求持续疲软,半导体销售额持续下降。在供需双重作用下,设计厂商进入被动补 库存阶段。 但是,我们判断行业拐点或将出现,部分设计厂商目前已从“被动补库存”阶段陆续进入 “主动去库存阶段”。22Q4-23Q1 已经有部分芯片设计厂商库存水位下降,大多数芯片设 计公司库存呈企稳态势。展望未来,芯片设计公司库存压力将有望随下游需求边际向好而 继续改善。


封测行业重资产属性强,利润受稼动率影响较大。封测行业呈现重资产属性的特质,固定 资产的比重相对较大。稼动率受下游厂商库存水位偏高的影响,相对处于低位。固定资产 的折旧占成本比重较大,在行业下行周期内会对利润造成较大影响。但展望未来,一旦下 游需求好转,渠道库存下降,利润增长的弹性较大。


筑底已基本完成,封测大厂业绩有望逐季改善。从下游需求来看,至暗时刻已过,部分设 计厂商库存水位下降,已进入主动去库存阶段。下半年,部分海外厂商将备货新产品,对 于封测端的需求有望提升。台湾力成在 7 月 25 日法说会表示,维持今年业绩逐季改善的 看法,二季度业绩优于一季度,预计第三季营收也将优于第二季度。此外,受益于 AI 浪 潮的拉动,先进封装产能吃紧。从产业趋势来看,有先进封装能力及汽车电子封测业务的 公司,将在本轮复苏中更为受益。


三、海外大厂技术布局

3.1、台积电


台积电作为产业龙头,早在 2008 年底就成立了专门的导线与封装技术整合部门来研发封 装技术,经过十余年的技术研发积累了多款先进封装技术,并于 2020 年宣布推出 3D Fabric™品牌,进一步整合公司制程工艺和封装技术。3D Fabric™包括前段系统整合芯片 技术(SoIC)和后段基板上芯片封装技术(CoWoS)和整合型扇出封装技术(InFO)。


1)CoWoS(Chip on Wafer on Substrate)是台积电 2011 年推出的首个 2.5D 先进封装技 术,包括 CoWoS-S、CoWoS-R 和 CoWoS-L 三类。 CoWoS-S 包括 CoW 和 oS 两部分,芯片间通过 CoW 工艺与硅晶圆相连,再通过凸块将 CoW 芯片与基板相连。该技术用微凸块和硅穿孔工艺代替传统引线键合,将不同功能 的芯片堆叠在同一个硅中介层上实现互联,具有缩小封装尺寸、降低功耗、提升系统 性能的优点。 CoWoS-R 是扇出型晶圆级封装,该技术利用 RDL 内插件实现芯片间的互连(常用于 HBM 和 SoC 的异构集成),RDL 重布线层由聚合物和铜线组成,具有较高的机械灵活性。这 种灵活性提高了 C4 连接的完整性,可以扩大封装尺寸以满足更复杂的功能需求。 CoWoS-L 是扇出型晶圆级封装,它结合了 CoWoS-S 和 InFO 技术的优点,通过使用带 有 LSI(局部硅互连)芯片的互插器实现芯片间的互连,并通过 RDL 层实现电源和信 号传输,集成最为灵活。




2)InFO(Integrated Fan-Out)是扇出型晶圆级系统集成技术,包括 InFO_oS、InFO_PoP 和 InFO_LSI。InFO_oS 与 CoWoS-L 相似,芯片间通过局部硅相连再用 RDL 重布层连接芯片和 PCB 基 板,其具有高密度的 RDL 带宽,适合 HPC 和 5G 通信领域,多用于小芯片消费性产品。 InFO_PoP 是全球首款三维扇出型晶圆级封装,是 FOWLP 和 PoP 的结合体,上层 DRAM 芯片通过 TIV(Through InFO Via)与基板相连,再通过凸块与下层扇出型晶圆级封 装的处理器相连形成 3D 结构,与 FC_PoP 相比,InFO_PoP 外形更薄,具有更好的电 气和热性能,适用于移动设备、HPC 等领域。InFO_LSI 则是在 InFO_oS 的结构基础上,在基板内嵌入了 LSI 以增加互联速度,适 用于 HPC 领域。


3)SoIC(System of Integrated Chips)是超高密度芯片 3D 立体堆叠技术,包含 CoW (Chip on Wafer)和 WoW(Wafer on Wafer)两种方案,其中 CoW 技术是晶粒层面的互联, 即将不同尺寸、功能的芯片进行异质集合,而 WoW 技术则是直接用整块晶圆来进行互联, 通过晶圆堆叠工艺实现同质异构 3D 硅的集成。 该技术将多个小芯片集成在单个类似于 SoC 的芯片中,具有比原生 SoC 更好的性能。此 外,不同于传统的中介层或芯片堆叠的方式,该技术可以在不使用微凸点的情况下直接将 硅金属层键合到硅芯片上,可实现更小的体积和更少的功耗,是目前业内最先进的堆叠互 连技术之一。 SoIC 属于前道 3D 制造环节,而 CoWoS 和 InFO 属于后道环节,因此,通过 SoIC 技术将芯 片集成到类似于 SoC 芯片中,再整合到 CoWoS 或 InFO 工艺中,可以实现更小的键合间隔 和更高的封装密度。


3.2、英特尔


和台积电相似,英特尔也在先进封装领域布局多年,相继推出 EMIB、Foveros 和 Co-EMIB 等先进封装技术,其 EMIB 技术和 Foveros 技术分别对标台积电的 CoWoS 技术和 InFO 技 术,但相关产品的量产时间均落后于台积电。


1)EMIB(Embedded Multi-Die Interconnect Bridge)是 2.5D 封装技术,它是在载板中 嵌入硅桥来完成芯片间互联,而不是采用 2.5D 封装中常见的硅中介层进行互联。相比而 言,硅桥接层(Silicon Bridge)面积小、成本低,可以提供更高的带宽和更低的功耗, 具备设计简单和封装良率优秀等特点,但 EMIB 封装结构并不适用于芯片数量多且对于互 联性能要求高的产品。


2)Foveros 技术是英特尔推出的首款 3D 堆叠技术。Foveros 的顶层芯片以面对面的方式 (F2F)相连,下方有微凸块,底层芯片中具有 TSV 硅穿孔,再通过下方的凸块实现基板 与上层芯片的连通。与 EMIB 相比,Foveros 可以将不同尺寸和用途的芯片整合起来,进 行芯片的横向和纵向的互联,具有极大的灵活性,适用于小尺寸或者带宽要求高的产品。


3)Co-EMIB 和 ODI 是英特尔在提升封装可扩展性方面的技术成果。其中,Co-EMIB 技术是 EMIB 和 Foveros 的结合,可以实现多个 Foveros 结构元件的互连。ODI(Omni Directional Interconnect)技术主要有两种类型,Type 1 类似于 EMIB 结构,底层芯片居于顶层芯片 之间,芯片间通过 ODI 实现水平互连,Type 2 类似于 Foveros,底层芯片完全被顶层芯片 覆盖,上下层芯片通过 TSV 进行垂直互连。这两种类型可以与硅中介层混用,针脚数量远 高于传统封装技术,具有更高的带宽和更低的电阻。




3.3、三星


由于台积电 CoWoS 技术的领先优势,三星近年来错失大陆英伟达和苹果等巨头的芯片代工 订单,与台积电的市占率差距不断扩大。为扭转公司封装技术的落后局势,三星持续发力, 相继推出 I-Cube、H-Cube 和 X-Cube 三大先进封装技术。


1)I-Cube(Interposer Cube)是 2.5D 封装技术,以 TSV 和 BEOL 技术为基础,通过水平 并行的方式集合两个以上不同尺寸和功能的芯片。根据中介层的不同,分 I-Cube S 和 ICube E 两种,分别对标台积电的 CoWoS-S 和 CoWoS-R。 I-Cube S 将一块逻辑芯片和一组高带宽存储墙 HBM 放置在硅中介层上,再运用凸点 和 TSV 硅通孔技术连接芯片和 PCB 载板,具有高算力、高带宽和低延迟等特点。 I-Cube E 无 TSV 结构,是在 RDL 中介层中嵌入硅桥来实现芯片间的互联,具有精细 成像的优势。


2)H-Cube(Hybrid Substrate Cube)是 2.5D 封装技术,通过硅中介层连接逻辑芯片、 HBM和下层的混合基底。其特点在于,通过整合精细成像的ABF(Ajinomoto Build-up Film) 基板和高密度互联(High Density Interconnection,HDI)基板来实现 2.5D 封装中更大 尺寸的封装,专用于需要高性能和大面积封装技术的高性能计算、人工智能、数据中心和 网络产品等领域。


3)X-Cube(eXtended Cube)是 3D 封装技术,计划在 2024 年实现量产。该技术采用在 Z 轴堆叠逻辑裸片的方法,将芯片垂直堆叠起来,大幅缩短互联距离、节省封装面积,直接 对标台积电的 SoIC 技术。在芯片互联方式上,有微凸块和铜混合键合 HCB(Hybrid Copper Bonding)两种技术,后者可以提供更高的芯片布局灵活性和更高的堆栈芯片密度,具备 高带宽和低能耗等优势。


3.4、日月光


日月光作为目前全球最大的封装测试厂商,于 2022 年推出了 VIPack 先进封装平台,提供 垂直互联整合封装解决方案。此平台利用先进的重布线层(RDL)制程、嵌入式整合以及 2.5D/3D 封装技术实现超高密度和性能设计的三维异质封装结构,主要由六大核心封装技 术组成。


1)FOPoP(Fan-Out Package on Package)是一种基于 RDL 的封装,它将下层的扇出式封 装与上层的封装堆叠在一起,下层封装的顶部和底部有由铜柱穿模连接的 RDL 重布线层。 与传统 PoP 相比可以进一步减小封装尺寸并提高电气和热性能,具有低延迟、小体积和高 带宽的优势,多用于便携式电子产品(如智能手机、平板电脑和可穿戴设备)等领域。


2)FOCoS(Fan-Out Chip on Substrate)是一种扇出型倒装封装,它由两个 ASIC 芯片组 成,芯片倒扣,通过铜通孔与 RDL 相连(没有微凸块),再与具有高引脚数量的 BGA 基板 封装。这一技术可以建立芯片间的短距离连接,以尽可能高效地实现高密度高效互联,多 用于高性能计算领域。




3)FOCoS-Bridge(Fan-Out Chip on Substrate Bridge)是一种 2.5D 封装,它具有 ASIC 和 HBM 两个芯片,两者通过嵌入 RDL 重布线层的硅桥芯片实现超细间距互连。它利用高度 集成的扇出结构的优势,克服了传统电气互连的局限性,实现了处理器、加速器和内存模 块之间高速、低延迟和高能效的数据通信,多用于人工智能、高性能计算应用等领域。


4)FOSiP(Fan-Out System in Package)是集合 RDL、载体系统、晶圆级组装和屏蔽溅射 几大技术的系统级封装。其中,扇出型 RDL 提供了优于主流基板的精细线路灵活设计能 力,载体系统使薄型晶圆处理成为可能,晶圆级组装提供了高速的 SMT 服务,屏蔽溅射技 术为特定射频应用提供了选择。FOSiP 具有更高的频率应用、更好的性能和成本效益,广 泛应用于智能手机、平板电脑、射频基础设施、边缘计算和物联网等领域。


5)2.5D/3D 封装是将多个集成电路封装在同一封装内的封装方法。它可以将 GPU、CPU、 HBM 和去耦电容集成在一起,并用带有 TSV 的硅内插层弥合组装基板和集成电路板之间细 间距,多用于高端 GPU、5G、人工智能、显示驱动等领域。


6)CPO(Co-packaged Optics)是将 ASIC 和光引擎共同装配在同一个插槽上的共封装, 其主要是基于硅光电子技术。硅光子技术可以利用 CMOS 生态系统实现电路高密度光子集 成,并在紧凑型芯片上低成本实现复杂的光学功能。与传统的集成电路相比,硅光子技术 具有更高的带宽和更好的能效,在高速传输数据时不会造成严重的信号失真,多用于高性 能计算领域。


3.5、安靠


安靠作为第二大封测龙头厂商,一直致力于开发包括硅通孔、穿塑通孔、铜柱、铜混合键合等在内的技术工艺,目前主要有 WLCSP、WLFO、WL3D、DSMBGA、AiP/AoP 和 SWIFT/HDFO 六大先进封装技术。 其中 SWIFT/HDFO 封装是一项高密度扇出技术(HDFO),它可以在日益紧凑的封装结构中通 过 RDL 技术将多组晶片组装在一起,弥合了 TSV 和 WLFO 封装之间的差距。一般来说,SSWIFT 设计有 4 层 RDL,第 1 和第 3 层用于信号路由,第 2 层充当接地层,第 4 层则作为 某个平面或用于铜柱(CuP)互连。


四、投资分析

4.1、封测厂


中国大陆封测厂商在全球化竞争中已占据重要地位,三家龙头厂商稳居行业营收前十。根 据芯思想研究院 2022 年全球委外封测榜单,2022 年全球前三大封测厂商分别为日月光、 安靠和长电科技,市占率合计 51.9%,行业集中度较高。在 2022 年营收前三十榜单中,中 国大陆上榜四家,其中长电科技、通富微电和华天科技稳居前十,甬矽电子作为行业新秀 营收排名达到二十二名。


长电科技:封测龙头公司,先进封装打开成长空间


公司是全球第三大,中国大陆第一大半导体封测厂商。公司成立于 1972 年,于 2016 年并 购星科金朋后进入发展快车道。据芯思想研究院发布的 2022 年全球委外封测榜单,长电 科技市占率为 10.71%,在全球前十大 OSAT 厂商中排名第三,仅次于台湾的日月光和美国 安靠,是中国大陆排名第一的封测企业。公司拥有三大研发中心及六大生产基地,本部包 括江阴、滁州、宿迁三大厂,覆盖传统高中低端封装,星科金朋(韩国、新加坡、江阴)、 长电先进、长电韩国则以先进封装为主。公司于 2023 年 1 月宣布其 XDFOI Chiplet 高密 度多维异构集成系列工艺已按计划进入稳定量产阶段,能够为国际客户提供 4nm 节点芯片 系统的集成,最大封装体面积约为 1500mm²。该项技术可以在高性能计算、人工智能、5G、 汽车电子等领域应用,为客户提供了外型更轻薄、数据传输速率更快、功率损耗更小的芯 片成品制造解决方案。


公司产品主要下游应用领域包括通信、汽车电子、高性能计算和存储等领域。


通信:公司在大颗 FCBGA 封装测试技术上已累积十余年经验,具备从 12x12mm 到 77.5x77.5mm 全尺寸 FCBGA 量产能力。2022 年公司完成 5G 相关的毫米波 RF 产品和 测试解决方案,WiFi-6e 及 RFFE 模组已投入生产。


汽车电子领域:公司设有专门的汽车电子事业中心,产品类型已覆盖智能座舱、智能 网联、ADAS、传感器和功率器件等多个应用领域。目前海内外六大生产基地全部通过 IATF16949 认证,并都有车规产品开发和量产布局。公司韩国工厂与下游企业合作研 发了用于新能源汽车大客户的芯片,将用于该客户车载娱乐信息和 ADAS 辅助驾驶。


高性能计算:公司将研发投入到高密度多层重布线扇出型封装技术 FO-MCM,该技术 可以提供稳定高良率的产出。公司产能充足、交期短、质量好(良率均能达到 99.9% 以上),江阴厂区可满足客户从中道封测到系统集成及测试的一站式服务。


存储:公司服务覆盖 DRAM、Flash 等各种存储芯片,目前已积累 20 多年存储封装量 产经验,16 层 NAND Flash 堆叠、35μm 超薄芯片制程能力、Hybrid 异型堆叠等存储 封测技术均处于国内行业领先的地位。 公司 2022 年继续保持了营收及利润的稳健增长。公司 2022 年实现营收 337.62 亿元,同 比增长 10.69%;实现归母净利润 32.31 亿元,同比增长 9.20%。展望未来,公司有望受益 于汽车电子、5G 通信、高性能计算、存储等高附加值产品结构占比提高。




通富微电:营收增长迅速,先进封装实力强劲


公司是全球第五大,国内第二大封测厂商。据芯思想研究院发布的 2022 年全球委外封测 榜单,公司 2022 年营收规模首次进入全球四强。公司产品种类丰富,广泛应用于高性能 计算、大数据存储、网络通讯、移动终端、车载电子、人工智能、物联网、工业智造等领 域。公司共设有七大生产基地,分别为崇川总部、南通通富、合肥通富、通富超威苏州、 通富超威槟城、厦门通富和通富通科。公司已覆盖多个先进封装工艺,自建 2.5D/3D 产线 全线通线。


公司紧贴 AMD 等龙头客户,营收增速高。公司主要客户有 AMD、恩智浦、联发科、英飞凌、 德州仪器、意法半导体、韦尔股份、兆易创新、卓胜微、艾为电子等。公司目前为 AMD 最 大的封装测试供应商,占其订单总数的 80%以上。公司 2022 年度实现营收 214.29 亿元, 同比增长 35.52%;实现归母净利润 5.02 亿元,同比下滑 47.53%。在行业整体处于下行周 期内的阶段,公司营收实现较大幅增长的主要原因大客户订单增长较快。但同时,由于受 到汇兑损失和计提折旧的影响,利润出现了较大幅度下滑。


华天科技:积极布局先进封装,下行周期业绩承压


公司是全球第六大,国内第三大封测厂商。公司产品主要应用于计算机、网络通讯、消费 电子及智能移动终端、物联网、工业自动化控制、汽车电子等领域。公司目前主要封装产 品可分为三类: 引线框架类产品:主要包括 DIP/SOP、QFP、QFN、FCQFN、SOT、DFN; 基板类产品:主要包括 WBBGA/LGA、FCCSP/FCLGA、FCBGA、SiP; 晶圆级产品:定位高端产品,主要包括 WLP 系列、TSV 系列、Bumping 系列和 MEMS 系 列等。


受行业景气度下行及终端库存影响,公司业绩整体承压。公司 2022 年营业收入 119.06 亿 元,同比下降 1.58%;归母净利润 7.54 亿元,同比下降 46.74%。景气度低迷的情况延续 到 23Q1,23Q1 公司实现营业收入 22.39 亿元,同比下降 25.56%;归母净利润亏损 1.06 亿 元,同比下降 151.43%。




甬矽电子:封测行业新秀,聚焦先进封装


公司是新锐半导体封测厂商,成立之初即聚焦先进封装领域。公司封装产品主要包括高密 度细间距凸点倒装产品(FC 类产品)、系统级封装产品(SiP)、扁平无引脚封装产品 (QFN/DFN)和微机电系统传感器(MEMS)四大类别,主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片、蓝牙芯片、MCU 等物联网芯片、电源管理芯片、计算类芯片、 工业类和消费类等领域。公司全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FCLGA 等中高端先进封装形式,在 FC、SIP、QFN/DFN 等先进封装领域具有较为突出的封装 技术优势和先进性。


受行业周期下行及低稼动率影响,公司利润大幅下滑。公司 2022 年营业收入 21.77 亿元, 同比增长 5.96%,归母净利润 1.38 亿元,同比下降 57.11%。景气度低迷的情况延续到 23Q1, 23Q1 公司实现营业收入 4.25 亿元,同比下降 26.85%;归母净利润亏损 0.50 亿元,同比 下降 170.04%。


公司产品均为中高端先进封装,并且在 SIP、QFN/DFN 等领域具有突出优势。SIP 产品是 公司收入占比最大的业务,2022 年实现营收 12.25 亿元,营收占比 56.28%,毛利率为 24.1%;QFN 产品 2022 年实现营收 6.32 亿元,占比为 29.02%,毛利率为 12.0%;FC 产品 毛利率水平较高,2022 年实现营收 2.92 亿元,占比为 13.42%,毛利率达 31.5%。


晶方科技:大陆晶圆级封测龙头


公司布局晶圆级封测,公司具备 8 英寸和 12 英寸晶圆级芯片尺寸封装(WLCSP)技术的规 模量产能力,下游产品主要包括 CIS 芯片、TOF 芯片、生物身份识别芯片、MEMS 芯片等, 广泛应用在手机、安防监控、身份识别、汽车电子、3D 传感等电子领域。目前,公司主要 客户有豪威、格科微、索尼、晶相光电、思特威等。2022 年受行业周期下行的影响,公司 主营业务影像传感器市场需求疲软,公司营收及利润大幅下滑。公司 2022 年实现营收 11.06 亿元,同比下降 21.62%;实现归母净利润 2.28 亿元,同比下降 60.45%。2023 年 Q1 实现营收 2.23 亿元,同比下降 26.85%;实现归母净 0.29 亿元,同比下降 68.92%。


4.2、先进封装设备


先进封装所需半导体设备涉及前道设备(刻蚀机、光刻机、PVD/CVD、涂胶显影设备、清 洗设备等)、后道封装设备(磨片机、划片机、固晶机、键合机、塑封设备等)。


华海清科:国产 CMP 设备龙头


公司是国产 CMP 设备制造的突破者。2013 年,华海清科由清华大学和天津市政府合资成 立,并于 2014 年研制出了国内首台 12 英寸 CMP 设备。CMP 设备可实现晶圆或硅片表面纳 米级的全局平坦化,是先进封装后道工序的关键工艺设备。公司自成立以来一直专注于 CMP 设备工艺技术及配套材料的研发,是目前国内少数能提供 12 英寸 CMP 高端半导体设 备的制造商。




核心产品持续放量,推动营收快速增长。自 2018 年 CMP 产品实现量产以来,公司营业收 入持续增长,2022 年实现总营收 16.49 亿元,2018 年-2022 年营收 CAGR 达 160.15%,随 着经营规模的不断扩张,公司于 2020 年扭亏为盈,2022 年归母净利润达 5.02 亿元,同 比增长 152.98%。作为公司核心产品,CMP 设备 2022 年营收 14.31 亿元,占总营收 86.77%; 2019 年-2022 年,CMP 设备业务毛利率由 30.16%上升至 47.65%,盈利能力显著提升。


芯碁微装:深耕直写光刻设备,泛半导体业务助力成长


公司是国内直写光刻设备领军企业,深耕泛半导体直写光刻设备与 PCB 直接曝光设备领 域。公司一直致力于优化 PCB 曝光设备性能,产品市占率逐步提升。另外公司还积极拓展 业务版图,相继推出了用于 IC 载板、先进封装、光伏电池曝光等领域的泛半导体直写光 刻设备,泛半导体业务成为公司的第二成长曲线。 新老业务的齐头并进,公司收入规模持续增长。2022 年公司实现营收 6.52 亿元,2018 年 -2022 年营收 CAGR 达 65.46%,2023 年 Q1 实现营收 1.57 亿元,同比增长 50.29%;其中, PCB 业务和泛半导体业务 2022 年分别实现营收 5.27 亿元和 0.96 亿元,占比 80.78%和 14.66%,毛利率分别为 37.90%和 65.08%。2022 年和 2023 年 Q1,公司分别实现净利润 1.37 亿元和 0.34 亿元,同比增长 28.66%和 70.32%。


芯源微:涂胶显影机打破国际垄断,国内市场空间广阔


公司是国内少有的涂胶显影设备厂商。根据中商产业研究院 2022 年数据,中国大陆的涂 胶显影设备市场被国外厂商高度垄断,日本东京电子市占率达 91%,而公司市占率仅为 5%, 大陆其他厂商市占率合计 4%。公司涂胶显影业务起步较早,技术处于国内领先的地位,主 要产品有光刻工序涂胶显影设备(涂胶显影机、喷胶机)和单片式湿法设备(清洗机、去胶 机、湿法刻蚀机),可用于 12 英寸、8 英寸、6 英寸及以下的单晶圆处理。 公司营收保持高速增长,涂胶显影机技术优势明显。2022 年,公司实现营收 13.85 亿元, 同比增长 67.12%,2018 年-2022 年营收 CAGR 达 60.25%;2022 年实现净利润 2.00 亿元, 同比增长 158.77%,2018 年-2022 年净利润 CAGR 达 60.69%。作为公司的主营业务,光刻 工序涂胶显影设备营收规模稳步增长,2022 年实现营收 7.57 亿元,毛利率为 34.65%, 2018 年-2022 年营收 CAGR 为 55.64%,五年营收贡献均维持在 50%以上。


新益昌:固晶设备龙头,LED 及半导体共同驱动业绩成长


公司是深耕固晶设备领域,是国内 LED 固晶机和电容器老化测试智能制造装备领域的领先 企业。公司成立于 2006 年,经过多年的技术研发和积累,不断拓展业务版图,相继推出 半导体固晶机和 Mini LED 固晶机,成为国际固晶机领域的龙头厂商。公司部分智能制造 装备产品核心零部件已实现自研自产,是国内少有的具备核心零部件自研自产能力的智能 制造装备企业。公司与海内外优质客户合作紧密,主要客户包括晶导微、灿瑞科技、通富 微电、国星光电、三安光电、鸿利智汇、瑞丰光电、雷曼光电、三星、亿光电子等。 受行业周期影响,公司业绩承压。2022 年,公司实现营收 11.84 亿元,同比下降 1.08%, 实现净利润 2.05 亿元,同比下降 11.76%。公司的 LED 固晶机和电容器设备为营收主要来 源,产品毛利率水平较高。2022 年,LED 封装设备实现营收 9.09 亿元,营收占比达 76.78%, 毛利率 45.05%,电容器设备实现营收 2.24 亿元,营收占比 18.92%,毛利率 36.20%。


奥特维:光伏串焊机领军者,多维布局半导体封测设备


公司是光伏组件串焊机设备的龙头厂商。公司 2013 年以串焊机起步,同时横向布局锂电 设备和半导体设备领域。公司产品主要应用于光伏行业、锂电行业、半导体行业封测环节, 主要包括: 光伏设备:大尺寸超高速多主栅串焊机、大尺寸超高速硅片分选机、激光划片机、丝 网印刷线、光注入退火炉、单晶炉等; 锂电设备:圆柱电芯外观检测、动力(储能)模组 PACK 线等;  半导体封测设备:铝线键合机。 公司成长曲线多元,营收及净利润持续高速增长。2022 年及 2023 年 Q1,公司实现营收 35.40亿元和10.39亿元,同比增长72.94%和66.27%,2018年-2022年营收CAGR达56.77%; 2022 年及 2023 年 Q1,公司实现归母净利润 7.13 亿元和 2.21 亿元,同比增长 92.25%和 107.42%。


大族激光:激光设备龙头企业,多元化业务布局初见成效


公司是全球领先的激光设备厂商,产品全面覆盖激光工业应用。公司于 1996 年成立,经 过二十余年的技术积累,具备了从基础器件、整机设备到工艺解决方案的垂直一体化能力, 是全球领先的智能制造装备整体解决方案提供商。公司业务包含信息产业、新能源、半导 体和通用工业激光加工四大板块,主要设备及产品有: 消费电子设备:主要产品为专用激光打标设备、激光焊接设备、激光钻孔设备、防水 气密性检测设备、CNC 数控机床等,用于手机、笔记本电脑、智能手表等消费电子产品的生产加工环节。 PCB 设备:主要产品为钻孔设备、激光直接成像设备、成型设备以及检测设备等,面 向钻孔、曝光、成型、检测等 PCB 生产的关键工序。 锂电设备:主要产品为匀浆、搅拌、涂布、辊压、模切、分切、卷绕/叠片、电芯组 装、烘烤、注液、化成分容等加工设备及自动化生产线,用于锂电池电芯、模组、PACK 段的生产加工环节。 光伏设备:主要产品在光伏电池及组件环节,包括 topcon 电池生产主设备:激光硼 掺杂设备、PECVD(等离子增 强气相沉积设备)、LPCVD(低压化学气相沉积设备)、 扩散炉、氧化炉、退火炉,以及组件段的无损划片机、划焊一体机等。 半导体设备:主要产品为激光表切、全切设备,激光内部改质切割设备以及刀轮切割 设备等前道晶圆切割设备;焊线设备、固晶设备、测试编带设备等后道封测设备以及 晶圆自动化传输设备,用于半导体及 LED、显示面板等泛半导体的生产加工环节。 通用元件及行业普及产品:紫外及超快激光器、高功率光纤激光器、中低功率 CO2 激 光器、脉冲光纤激光器、通用运动控制系统、振镜、伺服电机等工业激光加工设备及 自动化设备的关键器件。


受消费电子及通用制造行业需求疲软影响,公司业绩短期承压。2022 年及 2023 年 Q1,公 司实现营收 149.61 亿元和 24.25 亿元,同比下降 8.40%和 28.55%;归母净利润分别为 12.10 亿元和 1.42 亿元,同比下降 39.35%和 57.15%。展望 2023 年,随着消费电子及 PCB 设备下游需求企稳及公司产品的迭代更新,业绩或将修复;2023 年公司多款第三代半导 体技术产品有望放量,半导体设备业务有望迎来新一轮高速增长。




光力科技:双核心业务板块协同发展,持续完善产品线布局


公司是全球排名前三的半导体切割划片装备企业和国内领先的半导体封测设备及关键零 部件企业。公司自 2015 年上市以来持续并购世界优质半导体设备及高端零部件企业,迅 速扩展半导体封测设备市场,形成半导体封测装备业务及物联网安全生产监控装备两大核 心业务板块的布局。在现在设备的基础上产品不断迭代升级,相继推出研磨机、全自动数 字化智能钻机等设备。目前公司主要产品有: 半导体切割设备:全自动双轴晶圆切割划片机、半自动双轴晶圆切割划片机、用于第 三代半导体切割的 6 英寸半自动单轴切割划片机等; 核心零部件:高性能高精度空气主轴; 刀片:软刀、硬刀;  物联网安全生产监控装备:基于物联网的数字化智能钻机。 公司营业收入持续增长,主营业务毛利率水平稳定。2022 年及 2023 年 Q1,公司分别实现 营收 6.14 亿元和 1.43 亿元,同比增长 15.89%和 19.16%;2022 年归母净利润为 0.65 亿 元,同比下降 44.56%,23 年 Q1 净利润 0.22 亿元,同比增长 8.62%。2022 年,公司主营 业务半导体封测及安全监控分别实现 3.24 亿元和 2.91 亿元营收,毛利率分别为 42.13%和 65.71%。


耐科装备:塑料挤出装备龙头,封装设备业务发展迅猛


公司是国产塑料挤出装备的龙头厂商,封装设备领域技术逐步与国际接轨。公司成立之初 以塑料挤出成型设备为主营业务,2014 年切入半导体封装设备领域后,相继开拓了通富 微电、华天科技、长电科技等国内头部封装企业客户,进入发展快车道。公司的主要产品 有: 塑料挤出成型模具和装置:模头、定型模、冷却水箱和定型块、后共挤装置; 塑料挤出成型下游设备:定型台、牵引切割机; 半导体封装模具:切筋成型模具、MGP 模具; 半导体封装设备:全自动封装设备、模块组合式全自动切筋成型设备、一体式自动切 筋成型设备、塑料封装压机。 半导体业务持续发力,公司业绩保持高增长。2022 年,公司实现营收 2.69 亿元,同比增 长 8.19%,2018 年-2022 年,营收 CAGR 达 43.75%;2022 年,公司实现净利润 0.57 亿元, 2018 年-2022 年,净利润 CAGR 达 58.64%。自 2019 年公司半导体全自动封装设备 NTAMS120 和全自动切筋成型设备问世,公司半导体封装设备及模具业务营收贡献逐年增长,2022 年 实现营收 1.63 亿元,占比达 60.58%。



(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

半导体行业专题报告:先进封装价值量提升叠加需求回暖,封测产业链机遇将至.pdf

半导体行业专题报告:MEMS传感器关注射频、惯性和压力三大应用领域.pdf

2024年中国半导体激光行业市场研究报告

精测电子邮件报告:面板+半导体+新能源平台化布局领先.pdf

锡业股份分析报告:半导体东风起,锡龙头乘风行.pdf

超威半导体研究报告:算力帝国的挑战者.pdf

半导体行业深度报告:先进封装价值量提升叠加需求回暖,封测产业链机遇将.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00