【华安证券】专注ALD技术,光伏和半导体双向高成长.pdf

1 ALD 技术领军企业,聚焦光伏与半导体


1.1 专注 ALD 技术,拓展应用领域


微导纳米成立于 2015 年 12 月,2022 年 12 月在科创板上市。公司主要从事先进微、 纳米级薄膜沉积设备的研发、生产和销售,向下游客户提供先进薄膜沉积设备与相关改 造服务及备品备件。 公司以 ALD(原子层沉积)技术为核心,主要应用于光伏和泛半导体领域。公司 成立后即开始原型机的研发,一代量产机型 KF4000 于 2017 年中开始试量产,全球首创 将 ALD 技术规模化应用于光伏领域,PECVD 设备、PEALD 二合一平台设备自 2021 年 开始实现销售。公司于 2018 年启动半导体及柔性电子领域 ALD 的设备研发,在半导体 领域,公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路 制造前道生产线的国产设备公司,正式进军逻辑芯片、先进存储、3D-IC 等镀膜制造领 域。


股权结构稳定,实控人为王燕清家族。截至 2022 年 12 月,万海盈投资直接持有公 司 23,258.1624 万股股份,占公司总股本的比例为 51.18%,为公司控股股东。王燕清、 倪亚兰、王磊组成的家族通过万海盈投资、聚海盈管理、德厚盈投资间接控制公司 60.60%的股份,同时王燕清之子王磊担任公司董事长、王燕清之妻倪亚兰担任公司董事, 王燕清家族为公司的实际控制人。截至 2022 年 6 月 30 日,公司不存在控股子公司及分 公司,参股公司 1 家为芯链融创。


管理层从业经验丰富,技术团队对行业理解非常深刻。王燕清家族作为公司实际控 制人,于 2002 年创立无锡先导智能装备股份有限公司。先导智能主要从事锂电池、光 伏、3C 等智能装备的制造。公司董事、副董事长兼首席技术官黎微明自 1994 年开始 ALD 技术工作,是全球第一批将 ALD 技术用于半导体领域的科学家之一。公司其他高 管也都从业多年,专业能力强,技术与管理经验丰富。对行业独到深刻的理解有助于公 司未来发展,为公司继续保持竞争优势和龙头地位奠定基础。


1.2 光伏与泛半导体领域已取得广泛应用


ALD 是一种特殊的真空薄膜沉积方法,技术壁垒较高,性能独特。薄膜沉积是指 在基底上沉积特定材料形成薄膜,使之具有光学、电学等方面的特殊性能。ALD 技术 通过将气相前驱体脉冲交替地通入反应室并在沉积基底上发生表面饱和化学反应形成薄 膜。ALD 镀膜设备可以将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一 次/层为一个原子层,根据原子特性,镀膜 10 次/层约为 1nm。ALD 拥有多项独特的薄 膜沉积特性,如三维共形性、大面积成膜的均匀性、致密无针孔、实现亚纳米级的薄膜 厚度控制。


薄膜沉积设备按照工艺原理的不同可分为 PVD、CVD 和 ALD 设备。相比于 ALD 技术,PVD 技术生长机理简单,沉积速率高,但一般只适用于平面的膜层制备;CVD 技术的重复性和台阶覆盖性比 PVD 略好,但是工艺过程中影响因素较多,成膜的均匀 性较差,并且难以精确控制薄膜厚度。


公司产品主要应用领域一:光伏领域。在光伏领域公司已覆盖包括通威太阳能、隆 基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。从 PERC 进一步向 TOPCon 乃至 HJT/IBC 技术延伸。


公司产品主要应用领域二:半导体领域。公司 ALD 设备凭借原子级别的精确控制 及沉积高覆盖率和薄膜的均匀性,制备的高 k 材料 HfO2 较好的满足了 28nm 逻辑器件 制造过程的需要。除此之外,公司 ALD 设备沉积的 HfO2、ZrO2、La2O3 以及互相掺杂 沉积工艺可用于新型存储器如铁电存储(FeRAM)芯片的电容介质层,沉积的 Al2O3、 TiN、AlN 可用于化合物半导体、量子器件的超导材料导电层等,上述应用均已完成客 户的试样测试并签署订单。


除了光伏和半导体领域外,公司还拓展了柔性电子等其他领域的应用。公司自主开 发的 FlexGuard(FG)系列卷对卷原子层沉积镀膜系统主要在 OLED 等先进显示技术的 柔性电子材料上进行真空镀膜,已实现产业化应用。


公司主营业务收入以专用设备为主,2022 年前三季度占比超 93%。另有一些 设备改造业务,2021 年度设备改造业务增幅较大,其业务规模受光伏电池硅片大尺寸化趋势、公司臭氧工艺的推广以及新工艺开发及应用情况等因素影响。 公司专用设备以 ALD 设备为主。2019、2020 年公司专用设备收入均为 ALD 设备,2021 年后,公司 PECVD 设备、PEALD 二合一平台设备等新产品占比增长 迅速,且受向 N 型电池片的产能布局节奏影响,公司 ALD 设备的出货占比下降, 随着 TOPCon 产能扩产,ALD 设备订单再次快速起量。 截至 2022 年 9 月末,公司已取得在手订单 19.75 亿元,其中专用设备在手订 单合计 18.56 亿元,专用设备在手订单中毛利率较高的 ALD 设备的占比为 85.66%。


1.3 营收高速增长,规模效应有望带来利润加速提升


公司近年来营收呈增长态势,但净利润水平有所波动。2021 年度和 2022 年前三季度公司营收分别为 4.28 亿元、3.85 亿元,同比增长 36.91%、66.8%;归母净利润分别为 4,611.37 万元、-325.47 万元,同比下降 19.12%、115.83%。 2019-2021 年公司总营收 CAGR 为 40.81%。公司主营业务收入不断增长主要原因是: 1)光伏行业总体需求不断增长。2)公司产品匹配电池生产技术发展方向,推出符合市 场需求的高性能产品。公司解决了传统 ALD 技术速率慢的不足,提升单台设备产能和 效率,并推出适用 TOPCon 等新型高效电池的产品。同时公司还提供尺寸改造、工艺改 造等服务。3)除了光伏领域外,公司的 ALD 设备已在逻辑芯片 28nm 先进制程生产线 中实现突破,并持续推进在半导体及其他细分领域的应用。 公司 2020 年起营收增长,但因费用金额上升,净利润有所波动。2022 前三季度公 司出现亏损,主要系上半年我国新冠肺炎疫情呈多点散发情形,尤其是 2022 年第二季 度无锡及周边地区客户现场工作受到影响,导致营业收入较上年度同期略有下降。同时 公司扩充了管理、销售、研发等人员,并提高了相关投入,期间费用较上年度同期大幅 增长。


近年来公司毛利率与净利率略有下降,主要系期间费用率上升且专用设备毛利率有 所下降。2021 年度和 2022 年前三季度,公司销售毛利率分别为 45.77%、37.11%;销售 净利率分别为 10.78%、-0.85%。毛利率下降主要由于产品收入结构改变,2021 年公司推 出了 PECVD 设备、PEALD 二合一设备,由于产品推出初期先在 PERC 技术路线上进行 推广,与已存在成熟的方案竞争,两款产品 2021 年和 2022 年上半年毛利率均低于 20%。 公司根据已有订单测算,在 TOPCon 技术路线上推出的 PECVD 设备与 PEALD 二合一 设备的毛利率均在 35%以上,未来该产品毛利率预计将有提高。且 2022 年上半年 ALD 设备运用于半导体领域毛利率高于 50%,半导体收入的增长也将带动毛利率回升。 公司期间费用率高,研发费用率逐年上涨。2021 年度和 2022 年前三季度期间费用 率分别为 37.06%、39.88%;研发费用分别为 9,704 万元、9,354.58 万元;研发费用率分别 为 22.68%、24.29%。期间费用率上升主要是由于公司加大人才引入力度和产品应用领域 拓展,导致投入增加、费用上升。随着公司业绩快速增长,规模效应不断显现,公司的 期间费用率有望步入下行通道。


2 光伏:立足 ALD,新型电池技术全面布局


2.1 光伏装机量增长,设备空间广阔


光伏装机量预测持续高增长。根据 CPIA 数据,2021 年全球光伏新增装机 170GW, 创历史新高。在光伏发电成本持续下降推动下,全球光伏新增装机仍将快速增长,保守 情况下预计 2030 年全球/我国新增装机量 315/105GW。 2022 年上半年,中国光伏产业总体实现高速增长,产业链主要环节保持强劲发展 势头,多晶硅、硅片、电池、组件产量同比增长均在 45%以上。上半年中国光伏发电 新增装机 30.88GW,同比增长 137.4%。CPIA 乐观预计光伏市场或将开启加速模式, 并将今年全球和我国的新增装机预测均调高 10GW。


光伏产业链上下游环节较多。其上游为工业硅和晶体硅料的生产,单晶硅棒、多晶 硅锭的加工制造;中游为硅片、电池片的生产加工和光伏电池组件的生产制作;下游为 光伏系统的应用,包括电站项目的开发、电站系统的集成和运营等,并逐渐向光伏取暖、 光伏交通等领域拓展。公司产品主要用于光伏产业链的中游环节,为太阳能电池片厂商 提供镀膜设备,用于在电池片薄膜沉积,是光伏电池片生产环节的关键工艺设备。


国内光伏电池片企业选用的光伏设备已基本实现国产化,薄膜沉积设备多样化。理 想晶延、捷佳伟创和红太阳等占据薄膜沉积设备的主要市场份额。无锡松煜、理想晶延 和微导纳米主要采用 ALD 技术,捷佳伟创、北方华创、红太阳及 Centrotherm 主要采用 PECVD 技术,拉普拉斯主要产品是 LPCVD。微导纳米连续两年 ALD 产品收入规模在 国内同类企业中排名第一。在已经量产的高效电池技术领域,微导纳米在 ALD 设备领 域市占率达到了 70%~80%,在其同类产品中市场占有率稳居全球第一梯队。


2.2 大幅提效,首先将 ALD 技术应用于 PERC 电池生产


PERC 高效太阳能电池是通过在电池背面增加钝化层,阻止载流子在一些高复合区 域(如电池表面与金属电极的接触处)的复合行为,减少电损失,同时可以增强电池下 表面光反射,减少光损失,从而提高电池的转换效率,提高电池的性能,PERC 电池与 常规全铝背场电池最大的区别在于:电池背面用全表面介质膜钝化和局域金属接触方式 取代全铝背场电极。从各类电池的市场占有率看,2018 年传统的 BSF 电池依然占领半 数市场,2019 年 PERC 电池技术迅速反超 BSF 电池,占据了超过 65%的市场份额,随 着 PERC 电池片新产能持续释放,2020 年 PERC 电池片市场占比达到 86.4%,2021 年进 一步提升至 91.2%。


在PERC电池背面钝化Al2O3的沉积工艺中,主要采用ALD技术与PECVD技术。 PERC背面钝化工艺主要是在硅片背面沉积 Al2O3和 SiNX,Al2O3由于具备较高的负电 荷密度,可以对 P 型表面提供良好的钝化,SiNX 主要作用是保护背部钝化膜,并保证 电池正面的光学性能。在 PERC 电池背面钝化 Al2O3 的沉积工艺中,ALD 技术与 PECVD 技术存在互相替代的关系。


公司 ALD 设备突破产能限制,首先应用于光伏领域。在常规单晶电池制造工艺流 程中,仅电池正面需要用 PECVD 镀 SiNX,所以后来电池厂商优先运用 PECVD 技术在 PERC 电池背面沉积 Al2O3。当时 ALD 技术在国外主要应用于半导体领域,大多属于单 片式反应器类型,这种反应器虽然镀膜精度高,但产能较低。为了克服产能限制,2017 年起国内 ALD 设备制造商陆续推出创新解决方案。公司进一步通过高产能真空镀膜技 术、基于原子层沉积的高效电池技术等核心技术,解决了传统 ALD 技术速率慢的不足。 根据中国光伏行业协会《2021-2022 年中国光伏产业年度报告》,公司量产设备镀膜速率 已经突破 10,000 片/小时,打破制约 ALD 技术应用于光伏领域的产能限制,成为行业主 流镀膜方案之一。2018 年、2019 年公司 PERC 电池背钝化设备装机容量市占率分别为 41.16%、48.41%。


2.3 TOPCon 持续放量,立足 ALD 发展正背面镀膜


TOPCon 电池转换效率不断提升。N 型 TOPCon 技术是一种基于选择性载流子原理 的隧穿氧化层钝化接触的太阳能电池技术,其电池结构为 N型硅衬底电池,背面制备一 层超薄氧化硅,然后再沉积一层掺杂硅薄层,二者共同形成了钝化接触结构,有效降低 表面复合和金属接触复合,为 N 型电池转换效率进一步提升提供了更大的空间。P 型 PERC 电池理论转换效率极限为 24.5%,截至 2022 年 8 月,横店东磁 P 型 PERC 电池转 换效率突破 24.01%,为行业最高水平。N 型 TOPCon 电池的理论极限转换效率则为 28.7%。根据晶科能源公告,截至 2022 年三季度末,晶科 TOPCon 电池 10GW 以上量产 规模效率突破 25%,据其 2022 年 12 月 8 日公众号文章,182N 型高效单晶硅电池实验室 转化效率达到 26.4%。


TOPCon 扩产进行时。随着 TOPCon 电池片转换效率的不断提升,电池溢价显现, 根据中来股份 2022 年 9 月 27 日的 182 尺寸 TOPCon 电池 1.44 元/W, 及 PV INFOLINK2022 年 12 月 7 日公布的 182mmPERC 电池片 1.32 元/W 均价,TOPCon 电池 溢价为 0.12 元/W。2022 年以来,国内新增建设和规划中的 TOPCon 电池产能已超 250GW,其中 2022 年底产能预计超 85GW,2023 年产能预计超 200GW。


TOPCon 薄膜沉积设备投资占比提升。TOPCon 电池与 PERC 产线兼容性高, TOPCon 可在现有 PERC 产线上进行改造升级,设备改造成本约 5-6 千万元/GW。从生 产工艺步骤来看,TOPCon 与现有 PERC 产线的兼容性较好,最大程度地保留和利用现 有 P 型电池设备工艺流程,在现有的 PERC 产线基础上,只需要增加硼扩散、薄膜沉积 设备以及湿法刻蚀机台。公司研发、生产的设备在 PERC 产线建设中的投资占比为 24.71%-26.73%,在 TOPCon(含 N 型电池)产线建设中的投资比重上升至 33.00%- 39.12%。


公司 ALD 技术可以应用在 TOPCon 的正反面镀膜中,首先在正面钝化膜取得较高 市占率。ALD 设备在 TOPCon 工艺中氧化铝制备更具优势,在 TOPCon 电池正面氧化铝 工艺中,区别于普通 CVD 或 PECVD 原理,ALD 可沉积超薄的、高深宽比的膜层,更 适应正面镀钝化膜的复杂形貌。


公司开发的 PEALD 二合一平台,集成了 PEALD 和 PECVD 两种工艺,分别用于 制备隧穿层和多晶硅层,能够弥补 LPCVD 存在的不足。在氧化硅隧穿层的制备中,目 前较常见的有高温热氧化法、等离子体氧化法和 PEALD 技术。高温热氧化法、等离子 体氧化法在实践中也均存在问题。公司开发出了 ZR5000×2PEALD“二合一”产品, 创新性的将 ALD 技术应用于氧化硅层的制备,能够连续完成 TOPCon 电池的背膜结构 (隧穿氧化硅/原位掺杂多晶硅)镀膜。跟上述氧化法相比,采用 ALD 技术可以获得超 薄(<2nm)、大面积均匀性、致密性好、无针孔的氧化硅层。


2.4 ALD 需求量增长,受益 IBC 路线扩产


IBC 电池最大的特点是 P-N 结和金属接触都处于电池的背面,正面没有金属电极遮 挡的影响,因此具有更高的短路电流,同时背面可以容许较宽的金属栅线来降低串联电 阻从而提高填充因子,加上电池前表面场以及良好钝化作用带来的开路电压增益,使得 这种正面无遮挡的电池就拥有了高转换效率。IBC 电池起步较早,较传统 PERC 具备已 具备明显优势,且可靠性好,高低温环境下均有优秀的性能表现。


量产转化效率持续提升叠加应用产品推出,远期产能规划可观。2022年11月2日, 隆基绿能发布 HPBC 电池,标准版量产效率突破 25%,叠加了氢钝化技术的 PRO 版, 效率可以超过 25.3%,基于高效 HPBC 电池技术打造的 Hi-MO 6 组件量产效率则可达到 22.8%。爱旭股份新型 ABC 电池平均量产转化效率 25.5%,未来则有望实现 27%以上, 基于 ABC 电池的“黑洞”系列组件及整体解决方案服务则开创性地采用了无银化技术,降本增效,组件转换效率达 23.5%。隆基股份 15GW 项目已开始投产,远期规划产能超 30GW;爱旭股份珠海 6.5GW 产能投产在即,远期规划 50GW 以上。


IBC 制造流程中,多个步骤应用薄膜沉积设备。由于平台化技术特征,IBC 可以和 HJT、TOPCon 技术结合,电池制备工艺更为多变。正背面的钝化与减反膜、背面 PN 结 的制备往往都需薄膜沉积设备参与其中。其中,ALD 设备在钝化层的制备中具有重要 地位,且需求量往往更高,将充分受益于 IBC 电池后续扩产。


2.5 HJT 持续降本增效,公司进行技术储备


HJT 路线产业化进程加快,转换效率持续提升。异质结(HJT)最早由日本三洋公司 于 1990 年成功开发,为对称双面电池结构,在 P 型氢化非晶硅和 N 型氢化非晶硅与 N 型 硅衬底之间增加一层非掺杂(本征)氢化非晶硅薄膜,改变 PN 结的性能。与 PERC 电池 相比,具有高效率(头部厂商已达到 25%以上)、大尺寸、工艺简化、低衰减、双面率 高(90-95%,高于 PERC 电池 70%左右的水平)、薄片化等多重优势。HJT 电池理论最 高转换效率为 27.5%,可以采用叠层等技术,叠加后最高效率有望提升至 30%以上。 2022 年 11 月 19 日,隆基发布了 26.81%的 HJT 电池效率世界纪录。


HJT 路线各厂商远期产能规划可观。2022 年底我国 HJT 产能将超 10GW,2023 年 产能落地规划已超 60GW,海外厂商扩产计划亦持续公布。根据 CPIA 数据,到 2025 年, HJT 电池占比将接近 20%。HJT 电池工艺有别于旧产能,需要对应新设备贡献产能,产 业化进程提速为对应设备厂商提供更为广阔的市场空间。


相比 TOPCon电池片产线,HJT产线对薄膜沉积设备投资额占比提升明显。HJT电 池整体结构变化较大,其制造环节只需 4 大类设备,分别是制绒清洗设备(投资占比 10%)、非晶硅沉积设备(投资占比 50%)、透明导电薄膜设备(投资占比 25%)和印刷 设备(投资占比 15%),其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积 设备。根据 CPIA 数据,截至 2021 年,HJT 设备投资额约为 4 亿元/GW,核心层沉积设 备大约占整条 HJT 生产线资本支出的一半。


本征非晶硅层:目前 PECVD、CAT-CVD 为沉积 HJT 结构核心层的主要设备。 PECVD 是当下最主要应用的技术,公司 PECVD 技术可以应用于该环节。CAT-CVD (催化化学气相沉积)也是广泛应用的核心层沉积技术,由于所处的环境没有离子轰击, 得到的薄膜质量较高,但提高 CAT-CVD 的产量并不容易,而且设备也不易操作,自动 化成本高。由于垂直加工的设计,破损率也很高。 TCO 层:主要设备为 PVD 设备,捷佳伟创导入 RPD 设备,公司 ALD 设备也储备 用于该环节。


2.6 布局钙钛矿电池,不断加强技术储备


钙钛矿太阳能电池(PSCs)单结理论极限效率超 31%,叠层模式下可达 50%,相 比晶硅电池效率提升空间大。钙钛矿电池结构为钙钛矿层夹在电子传输层和空穴传输层 (中间,构成三明治结构,外层是电极层。常见的钙钛矿电池结构有正式结构(也称 ni-p 型)结构和反式结构(也称 p-i-n 型)两种。光照条件下,钙钛矿材料吸收光子,电 子从价带跃迁到导带,随后以极快的速度注入到电子传输层 ETL,对应空穴被传输至空 穴传输层HTL;然后电子和空穴被电极收集,接上负载后,电池便可对外做功;电子传 输层一般为 n 型半导体,空穴传输层一般为 p 型半导体。


2023 年多家企业的规划产能已达到 GW 级。已有多家上市公司开始规划钙钛矿产 能,包括协鑫光电,纤纳光电,极电光能等多家企业,并且多家企业的规划产能已达到 GW 级,多家企业建设的钙钛矿光伏组件生产线也已经开始试生产。


根据协鑫光电公布工艺,钙钛矿电池组件产线主要设备分为四部分:PVD 设备、 涂布设备、激光设备及封装设备,其中镀膜设备占据重要位置。镀膜设备主要用于制备 阳极缓冲层、阴极缓冲层、背电极。PVD 包括蒸镀、溅射镀和离子镀等,PVD 在整套设备成本中大概占 50%左右。


在不同结构的钙钛矿电池生产流程中,ALD 设备均有应用。由于原子层沉积技术 具有自限制反应和逐层生长等特点,与热蒸镀、溅射和 CVD 等方法相比,具有衬底温 度较低、可精确控制膜厚、大面积生长、薄膜均匀性好、三维保形性好等特点。公司根 据下游厂商在钙钛矿叠层电池的量产节奏完善相关的技术储备和产品。 功能层:ALD 可以用于 TCO 等功能层制备; 缓冲层:ALD 可在金属电极和钙钛矿活性层之间沉积致密且电学性能良好的缓冲 层(SnO2 和 TiO2 等薄膜)。金属电极与卤素之间的扩散反应是造成钙钛矿太阳电 池衰退的重要因素。另外其与柔性基底、器件完全兼容,所沉积的薄膜具有更好 的致密性,非常适合于缓冲层的制备。公司积极布局,并与上下游以及国内外顶 尖研究机构合作开发钙钛矿关键镀膜技术和装备。 封装:ALD 薄膜封装制备的薄膜具有致密、可精确控制、能大面积制造和对水阻 隔能力强等优点,对器件活性层性能与稳定性产生积极的影响,如常见的 Al2O3 层,适用于钙钛矿电池的封装。


3 半导体:ALD 技术中的国产替代先行者


3.1 需求与国产替代共振,国产 ALD 设备市场快速增长


我国为全球最大的半导体设备市场。随着半导体行业整体景气度的提升,全球半导 体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。根据 SEMI 的数 据,2021 年全球半导体设备销售额达到了 1026.4 亿美元,相较于 2020 的 711.9 亿美元 增长了 44%,其中中国大陆在 2021 年第二次成为了半导体设备的最大市场,销售额达 到了 296.2 亿美元,相较于 2020 年的 187.2 亿美元增长了 58%。


半导体设备主要分为晶圆设备、封装设备和测试设备三大类,其中晶圆设备又以薄 膜沉积设备、刻蚀设备和光刻机为主。根据 Maximize Market Research 数据统计,全球 半导体薄膜沉积设备市场规模从 2017 年的 125 亿美元扩大至 2020 年的 172 亿美元,年 复合增长率为 11.2%,预计至 2025 年市场规模可达 340 亿美元。2021 年中国半导体设 备市场,晶圆设备占总比值超过 80%,其中薄膜沉积设备的占比为 18.92%,据此测算 2021 年中国薄膜沉积设备市场规模大约为 48.2 亿美元。


先进制程增加,薄膜要求提高,ALD 设备市场空间占比有望提升。随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日 趋复杂,所需要的薄膜层数越来越多,在半导体制程进入 28nm 后,器件结构不断缩小 且更为 3D 立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面 均匀镀膜,并且由于芯片的线宽越来越窄、结构越来越复杂,薄膜性能参数精细化要求 也随之提高,在此背景下,ALD 技术凭借优异的三维共形性、大面积成膜的均匀性和 精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将 持续提高。


半导体薄膜沉积设备进口替代空间大。从全球市场份额来看,薄膜沉积设备行业呈 现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、ASMI、泛林半导体 (Lam)、东京电子(TEL)等国际巨头占据大部分份额。半导体薄膜沉积设备国产化 率 2020 年为 8%。2019 年,ALD 设备龙头东京电子(TEL)和先晶半导体(ASMI)分 别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂商占据。《中国制造 2025》 对于半导体设备国产化提出明确要求:在 2020年之前,90-32nm工艺设备国产化率达到 50%;在 2025 年之前,20-14nm 工艺设备国产化率达到 30%。薄膜沉积设备作为半导体 制造的核心设备,将会迎来巨大的进口替代市场空间。


我们预计 2022/2023/2024 我国国产 ALD 设备规模分别为 5.21/6.26/9.59 亿元, yoy+37.42%/20.15%/53.38%。随着我国半导体设备市场需求量增长及国产化率提升,国 产 ALD 设备市场空间不打断开,带来领先 ALD 设备公司机会。


3.2 逻辑芯片:国产首家突破 28nm 制程 High-k 材料沉积技 术


高端制程下对 High-k 材料的需求导入 ALD 沉积技术。进入 45nm 制程特别是 28nm 之后,传统的 SiO2 栅介质层薄膜材料厚度需缩小至 1 纳米以下,将产生明显的量子隧 穿效应和多晶硅耗尽效应,导致漏电流急剧增加,器件性能急剧恶化,已不能满足技术 发展的要求。而高 k(介电常数)氧化物作为栅介质层,可以在降低等效氧化物厚度 (EOT)的同时,抑制漏电流的产生。由于高 k 的栅介质层厚度往往小于 10nm,所需 的膜层很薄(通常在数纳米量级内),Intel公司在半导体工业 45nm以后,随着高介电材 料的应用,引入了 ALD 技术沉积高介电材料。


公司是国内首家成功将量产型 High-k 原子层沉积设备应用于 28nm 节点集成电路 制造前道生产线的国产设备公司。传统工艺中,由于存在厚度控制和膜层均匀性的问题, 国内集成电路制造厂商通过 CVD工艺所生成的膜很难突破 28nm技术节点器件结构变化 所带来的极限,且在较高深宽比的三维结构上较难保证 100%的阶梯覆盖率。而 ALD 工 艺可以在 100%阶梯覆盖率的基础上实现原子层级(1 个纳米为 10 个原子)的薄膜厚度。 公司 ALD 设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备的高 k材料 HfO2较好的满足了 28nm 逻辑器件制造过程的需要。设备总体表现和工艺关键性能 参数达到国际同类水平,并已获得客户重复订单认可,成功解决了一项半导体设备“卡 脖子”难题。


3.3 存储芯片:精细化镀膜适应先进存储技术需求


在存储芯片领域,5G、物联网、数据中心等新一代信息技术的大规模开发及应用 催生了国内对半导体存储器的强劲需求,目前我国半导体存储器市场规模约占全球市场 规模的 30%,但存储器产品主要依赖进口,替代空间巨大。 从细分市场来看,在半导体存储市场中,DRAM 和 NAND Flash 占据主导地位。 2021 年全球半导体存储器市场中 DRAM 占比达 56%,NAND Flash 约占 41%,NOR Flash约占2%,包括EEPROM、EPROM、ROM、SRAM等在内的其他存储器约占1%。


ALD 可以用于新型存储器发展方向: (1)目前主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增 高,元器件逐步呈现高密度、高深宽比结构,支持在更小的空间内容纳更高存储容量, 进而带来成本节约、能耗降低。由于 ALD 独特的技术优势,在每个周期中生长的薄膜厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满足器件尺 寸不断缩小和结构 3D 立体化对于薄膜沉积工序中薄膜的厚度、三维共形性等方面的更 高要求,ALD 技术愈发体现出举足轻重的作用。 (2)铁电存储 (FeRAM)产品将 ROM 的非易失性数据存储特性和 RAM 的无限 次读写、高速读写以及低功耗等优势结合在一起。公司 ALD 设备沉积的 HfO2、ZrO2、 La2O3 以及互相掺杂沉积工艺可用于新型存储器如铁电存储芯片的电容介质层。


3.4 新型显示:mini LED/OLED 等广泛布局


近年 LED 行业逐步进入结构性深度调整阶段,随着落后产能淘汰、高端 LED 应用 的技术壁垒提升以及龙头企业规模优势显现,龙头企业的行业地位进一步稳固。2021 年,三安光电、华灿光电两家企业分别占据中国 LED 芯片产能的 31.7%、14.3%,产能 占比将近市场的一半。


在新型显示行业,目前主流应用的显示技术为LCD和OLED,在海兹定律驱动下, 从中长期看,新型显示技术如柔性电子、Mini/Micro LED 市场规模将快速提升。早期的 LED加工工艺要求的精密度无法与集成电路相比,防水汽与防氧化也没有 OLED那么严 格,但随着芯片尺寸持续缩小的趋势与高功率密度芯片级别封装 CSP 的兴起,ALD 技 术优势逐渐体现,并进入新型显示行业的视线,ALD 技术的市场需求将进一步扩大。


在柔性电子领域,ALD 膜层拥有高密度、无针孔、保型性能好、绝缘、阻水阻氧 等特点,公司自主开发的 FG 系列卷对卷 ALD 设备能够在大幅宽的材料表面沉积高性能 阻隔层,具备良好的阻水阻氧能力,并能够有效保护 OLED 器件的性能和寿命。公司也 在积极布局新一代化合物半导体 Mini LED 显示技术, 该技术目前处于开发试验阶段。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

微导纳米(688147)研究报告:专注ALD技术,光伏和半导体双向高成长.pdf

微导纳米研究报告:ALD+CVD双轮驱动,薄膜新贵大有可为.pdf

微导纳米研究报告:立足ALD布局光伏&半导体,拓展CVD打开成长空间.pdf

微导纳米研究报告:ALD核心技术引航,潜薄膜沉积之海.pdf

微导纳米研究报告:ALD设备领军者,向光伏、半导体延伸布局.pdf

微导纳米研究报告:国内ALD设备领航者,国产替代助力业绩长期向好.pdf

光伏玻璃行业分析:供需阶段性改善,龙头竞争优势稳固.pdf

光伏发电系统用电力转换设备的安全第1部分:通用要求.docx

天合光能研究报告:全球光伏组件行业巨头,一体两翼稳步发展.pdf

光伏行业春季策略报告:需求向上景气抬升,看好紧俏环节与技术升级.pdf

光伏银浆行业专题报告:光伏银浆,量利有望超预期.pdf

2024半导体行业薪酬报告.pdf

锡行业研究报告:半导体上游核心材料,供给趋紧+需求复苏下价格中枢有望持续提升.pdf

京仪装备研究报告:国内半导体专用温控废气处理设备专精特新“小巨人”.pdf

半导体设备行业专题报告:键合设备,推动先进封装发展的关键力量.pdf

半导体封装设备行业深度报告: 后摩尔时代封装技术快速发展,封装设备迎国产化机遇.pdf

【华安证券】专注ALD技术,光伏和半导体双向高成长.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00