【浙商证券】深度报告:中国ALD设备龙头,半导体光伏两翼齐飞.pdf

1 微导纳米:深耕原子层沉积技术,半导体、光伏领域双突破


1.1 以原子层沉积技术为核心,产品覆盖三大领域


以原子层沉积技术为核心,产品应用领域不断拓展。微导纳米成立于 2015 年 12 月, 主要从事先进微米级、纳米级薄膜沉积设备的研发、生产和销售。公司起家于光伏设备, 逐步实现半导体、柔性电子设备领域突破:在光伏设备领域,公司突破 ALD 沉积速度慢的 桎梏,全球首创将 ALD 技术规模化应用于光伏领域,在 PERC、TOPCon、xBC、钙钛矿 领域均实现出货;在半导体领域,公司是国内首家成功将量产型 High-k 原子层沉积设备应 用于 28nm 节点集成电路制造前道生产线的国产设备公司,产品可应用于逻辑、存储、化 合物半导体、先进显示等领域;在柔性电子领域,自主研发的卷对卷柔性电子设备已实现 产业化应用。


公司实际控制人为王燕清家族,核心技术人员及员工持股绑定公司发展。王燕清、倪 亚兰、王磊组成的家族间接控制公司 60.60%的股份,系公司实际控制人,王燕清、倪亚兰 系夫妻关系,王磊系王燕清、倪亚兰之子。同时王磊担任公司董事长、倪亚兰担任公司董 事。公司创始人兼核心技术人员 LI WEI MING、LI XIANG 持股合计 13.86%,持股比例较 高。聚海盈管理为公司员工持股平台,管理合伙人共 26 名,合计持有公司 8.32%股权。公 司高管与核心人员专项资产管理计划(微导 1 号、微导 2 号)合计持股 0.88%。


公司产品主要包括半导体设备、光伏设备和柔性电子设备三大类。 半导体设备:公司半导体 ALD 设备可应用于逻辑、存储、化合物半导体、新型显示等 领域。凤凰(P)系列原子层沉积镀膜系统 HfO2 工艺已在 28nm 量产线实现产业化应用, 并取得客户重复订单。ALD 设备沉积的 HfO2、ZrO2、La2O3 以及互相掺杂沉积工艺可用 于新型存储器(如铁电存储 FeRAM)芯片的电容介质层,沉积的 Al2O3、TiN、AlN 可用 于化合物半导体、量子器件的超导材料导电层等,上述应用均已完成客户的试样测试并签 署订单。


光伏设备:公司光伏设备产品包括 ALD、PECVD、PEALD 二合一设备和扩散炉系 统,可应用于新型高效电池制造,并可提供 TOPCon 整线解决方案。 夸父(KF)ALD 系列主要用于氧化铝薄膜的沉积(PERC 背面和 TOPCon 正面均需沉 积氧化铝薄膜);夸父(KF)PECVD 系列主要用于氮化硅薄膜的沉积(PERC 和 TOPCon 减反层);祝融(ZR)PEALD 系列集成了 PEALD 和 PECVD 技术,同一台设备可完成于 氧化铝、氮化硅镀膜以及 TOPCon 电池隧穿层、掺杂多晶硅薄膜沉积;羲和(XH)系统可 用于 TOPCon 中磷扩、硼扩、氧化和退火工艺。


柔性电子设备:FlexGuard(FG)系列卷对卷原子层沉积镀膜系统,主要在 OLED 等 先进显示技术的柔性电子材料上进行真空镀膜。公司 ALD 设备可实现在大幅宽材料表面沉 积高性能阻水阻氧层,有效保护 OLED 器件性能和寿命。该设备已通过客户验证并获得客 户重复订单。


1.2 光伏设备贡献主要营收,半导体业务逐步放量


公司营业收入主要来源于光伏设备,产线拓宽带来营收多样化。1)分产品来看,光 伏设备为公司近年来贡献主要营收,2018-2022H1 收入占比分别为 93%、94%、96%、64% 和 92%。光伏设备从 ALD 设备拓展至 PECVD、PEALD 二合一平台设备,产品线不断丰 富。2)半导体设备已实现营收突破,2021 年、2022H1 收入占比分别为 6%、3%,半导体 High-k 介质层镀膜设备、真空传输系统已获客户验证并实现营收。3)2021 年配套产品及 服务收入增长较快,主要原因是光伏电池硅片大尺寸化、公司臭氧工艺的推广及新工艺的 开发应用带动配套产品及服务业务收入大幅提升。


公司客户集中度较高,通威为近三年第一大客户。2019—2022H1,公司前五大客户销 售额分别为 13,222 万元、29,893 万元、35,988 万元、14,435 万元,占比 61%、96%、 84%、93%,其中 2019-2021 年通威太阳能为公司第一大客户,占比 21%、63%、28%。公 司在光伏行业实现了较高的客户覆盖率,并积极拓展半导体行业和柔性电子领域客户。


光伏客户资源丰富,优势有望延续至新型高效光伏电池技术。公司凭借 ALD 设备的 先进性,获得通威、隆基、晶科、晶澳、润阳、爱旭、天合光能等多个客户订单。基于良 好的客户基础,公司在新型高效电池领域客户拓展顺利,截至 2022 年 6 月,公司已签署 TOPCon 等相关新型高效电池订单近 50GW。根据公司 2022 年 1-6 月参与招投标项目统 计,在已开标的 TOPCon 和背接触电池(基于 IBC 的电池结构)产线中,公司 ALD 设备 中标的产线规模占比均达到 75%。HJT 电池方面,公司正在研发采用 ALD 技术实现 TCO 薄膜的制备,也在依托 ALD 技术开发沉积新型 TCO 薄膜的设备,例如采用 AZO (ZnO:Al)等资源储量丰富的薄膜材料制备 TCO 薄膜,以改善目前 HJT 电池的生产技 术、降低 HJT 电池片生产成本。


1.3 研发团队实力强劲,布局新产业应用未来可期


高管团队产业经验丰富,核心技术团队引领公司研发。总经理 ZHOU REN 曾任职于 Novellus、LAM、KLA 等顶级国际半导体公司及中微、拓荆等国内半导体设备公司,管理 经验丰富。副董事长兼首席技术官 LI WEI MIN 具有 25 余年原子层沉积技术研发经验,为最早研究 ALD 技术华人之一,先后任职芬兰 ASM、Silecs、Picosun 等知名国际半导体公 司。董事、副总经理 LI XIANG 拥有 10 余年半导体器件制造和工艺研发经验,曾就职于新 加坡 IME、Picosun、GF。副总经理胡彬曾任先导智能工程副总经理,光伏行业经验丰富。 除 LI WEI MIN、LI XIANG 外,公司核心技术团队中许所昌曾任职中芯国际,半导体产业 经验丰富;吴兴华曾任职台湾工研院、昱晶能源、中来光电等公司,光伏产业经验丰富。


研发团队专业储备深厚,产线验证经验丰富。自成立以来,公司以海内外专家为核 心,积极引入和培养一批经验丰富的电气、工艺、机械、软件等领域工程师,形成了跨专 业、多层次的人才梯队,不断助力下游应用领域关键产品和技术的攻关与突破。截至 2022 年 6 月 30 日,公司研发人员共有 206 名,占公司员工总数的 25.5%。截至 2022 年 11 月,公司已取得 97 项国家授权专利,其中发明专利 14 项、实用新型专利 74 项、外观设计专利 9 项,软件著作权 19 项。 持续高研发投入提升核心竞争力,加大新技术布局。公司成立以来,不断加强研发投 入,2019-2022 年前三季度研发费用 3109/5373/9704/9355 万元,研发费用率 14%/17%/23%/24%。公司高度重视半导体及光伏技术研发,积极布局柔性电子、新能源电 池等新技术,2022 年 1-6 月半导体领域研发投入占比为 55%,在研项目包括半导体制造 ALD 设备平台、尖端存储器件 ALD 设备工艺及研发、先进化合物半导体及微机电关键工 艺研发等;光伏领域研发投入占比 35%,主要投向 TOPCon、xBC、叠层电池等光伏新技 术。


1.4 收入规模快速增长,在手订单充足业绩高增可期


受益于下游扩产及产品线拓宽,公司营收快速增长。2018-2021 年,公司营收由 4191 万元增长至 4.28 亿元,年化复合增长率 117%。2022 年前三季度,公司实现营收 3.85 亿 元,同比增长 66.8%,主要系公司电池设备销售收入取得增长、首批应用于光伏 TOPCon 电池的专用设备取得客户验收,并在柔性电子领域实现 ALD 设备销售。随着公司光伏、半 导体、柔性电子等更多产品在客户端取得验证,公司收入有望继续增长。 2018-2022 前三季度,公司归母净利润分别为-2827、5455、5701、4611、-325 万元, 利润水平有所波动。2022 年前三季度净利润下降主要系公司收入结构变化导致主营业务毛 利率有所波动,且设备产品验收周期长,导致收入确认与因订单增加而相应增加的管理、销售费用周期不一致,以及公司持续加大研发投入,导致研发费用增加所致。预计随着公 司营收增长及规模效应下费用率下降,公司利润有望修复。


毛利端:产品线逐步稳定,预计未来毛利率稳中有升。2019-2022 前三季度,公司业 务毛利率分别为 54.0%、51.9%、45.8%、37.1%。2021 年公司主营业务毛利率同比所下 降,主要系夸父(KF)管式 PECVD 系统 、祝融(ZR)管式 PEALD 系统于 PERC 技术路 线的应用在市场上已存在成熟的竞争方案 ,参考市场水平定价,毛利率偏低。2022 年 1-9 月,公司主营业务毛利率较 2021 年有所下降,主要系公司毛利率较高的配套产品及服务 (主要为设备改造业务收入)占比由 2021 年的 29.71%下降至 3.62%,从而导致主营业务 毛利率较上年有所下降。 费用端:高研发费用导致期间费用率较高。2022 年 1-9 月公司期间费用率 39.9%,主 要是公司在 TOPCon、xBC 等光伏新型高效电池和半导体各细分领域的产品、技术方面持 续加强研发,因此扩充了管理、销售、研发等人员,导致期间费用较上年度同期大幅增 长。未来随着营收的增长,规模效应下公司期间费用率预计下降,盈利能力有望提升。


合同负债及存货高增长,在手订单充足业绩成长性高。2022 年 9 月末,公司合同负债 和存货分别达到 4.4 亿元和 7.6 亿元,较 2021 年末增长 3.2 亿元和 3.6 亿元。公司主要产品 在光伏、半导体、柔性电子三大应用领域均实现了产业化应用,在手订单充足。截至 2022 年 9 月末,公司在手订单 19.75 亿元,其中专用设备在手订单合计 18.56 亿元,设备改造业 务在手订单合计 1.15 亿元。


1.5 募投加码 ALD 设备,未来两年产能大幅提升


根据招股说明书,本次募投项目为基于原子层沉积技术的光伏及柔性电子设备扩产升 级项目、基于原子层沉积技术的半导体配套设备扩产升级项目、集成电路高端装备产业化 应用中心项目及补充流动资金。募集资金 10 亿元,均投向科技创新领域。 项目一:基于原子层沉积技术的光伏及柔性电子设备扩产升级项目。基于公司现有 ALD 设备产线进行升级扩产,开发适用于光伏、柔性电子的 ALD 设备,新增年产 120 台 ALD 设备的生产能力,总投资规模为 26,421.02 万元。项目建设期 2 年,利用现有租赁厂 房进行改造建设。 项目二:基于原子层沉积技术的半导体配套设备扩产升级项目。基于公司现有 ALD 设备产线进行升级扩产,开发适用于半导体的 ALD 设备,新增年产 40 套 ALD 设备,总投 资规模为 63,310.80 万元。项目建设期拟定 3 年,利用现有租赁厂房进行改造建设。 项目三:集成电路高端装备产业化应用中心项目。设立集成电路高端装备产业化应用 中心,推动基于 ALD 技术的集成电路高端制造装备产业化应用,总投资规模为 11,811.74 万元。


补充流动资金:本次拟使用 15,000.00 万元募集资金用于补充流动资金。随着光伏、半 导体等行业持续发展,带动了上游装备市场和公司业务的增长。通过补充流动资金可以满 足公司购买原材料、产品生产以及日常运营需求,能够有效提高公司的偿债能力,降低公 司流动性风险,并对公司研发投入和人才队伍建设给予有力的支持。


2 ALD 技术应用空间广阔,半导体、光伏等行业发展带来新机遇


2.1 ALD 技术可精准镀膜,技术延展性强应用领域广泛


2.1.1 ALD 可精准控制薄膜厚度,具备良好的三维共形性、均匀性


原子层沉积(Atomic Layer Deposition,ALD)本质上是一种特殊的化学气相沉积 (Chemical Vapor Deposition,CVD)技术,通过将气相前驱体脉冲交替通入反应室并在沉 积基体上反应而形成薄膜的一种方法,具有优异的三维贴合性、大面积的沉积均匀性和精 确的亚单层膜厚控制等特点。 ALD 技术核心特点在于其独特的自限制生长特性,因此其不像 CVD、PVD 是一个连 续的工艺过程,而是由若干个半反应组成。以经典的 ALD 沉积 Al2O3 为例,生长过程分 为四个步骤:1)金属前驱体三甲基铝 Al(CH3)3 通入反应腔,在沉积基底表面发生化学吸 附反应 A;2)惰性气体清洗(一般为高纯氮气或氩气),未反应的 TMA 和反应副产物甲 烷带出反应腔;3)将水蒸气通入反应室,水蒸气和衬底表面 TMA 发生化学反应 B 形成氧 化铝;4)再次通入惰性气体,清除多余水蒸气和反应副产物。如此为一个生长循环,氧化 铝的厚度由生长循环数控制。


自限性反应机理决定了 ALD 技术具备多项独特的薄膜沉积优势:1、拥有良好的三维 共形性,广泛适用于不同形状的基底;2、成膜大面积的均匀性,且致密、无针孔;3、可 实现亚纳米级薄膜厚度的精确控制。ALD 技术局限主要在于沉积速度低、前驱体材料受限 等。


2.1.2 ALD 技术延展性强,未来有望在多领域应用


ALD 技术应用领域多、市场空间大。ALD 技术由芬兰科学家 Tuomo Suntola 博士及其 同事在 1974 年发明,最先应用于电发光平板显示器并于 80 年代实现产业化。2001 年国际 半导体工业协会将 ALD 技术列入与微电子工艺兼容的候选技术以来,其发展势头强劲。 2007 年,Intel 公司首先将 ALD 沉积超薄氧化铪作为栅介质层薄膜替代常规二氧化硅栅介 质薄膜引入到 45nm 工艺中,获得了功耗更低、速度更快的酷睿微处理器。目前 ALD 技术 应用已从集成电路扩展到光伏、锂电池、催化、能源、显示器、生物、分离膜及密封涂层 等领域。


在集成电路“摩尔”领域,ALD 技术应用逐步拓展。近年来,晶圆制造的复杂度和工 序量大大提升,以逻辑芯片为例,随着 90nm 以下制程的产线数量增多,尤其是 28nm 及以 下工艺的产线对镀膜厚度和精度控制的要求更高,特别是引入多重曝光技术后,工序数和 设备数均大幅提高;在存储芯片领域,主流制造工艺已由 2D NAND 发展为 3D NAND 结 构,内部层数不断增高;元器件逐步呈现高密度、高深宽比结构。由于 ALD 在每个周期中生长的薄膜厚度是一定的,拥有精确的膜厚控制和优越的台阶覆盖率,因此能够较好的满 足器件尺寸不断缩小和结构 3D 立体化对于薄膜沉积工序中薄膜的厚度、三维共形性等方 面的更高要求,随着芯片制程缩小而在部分环节取代 PVD 和 CVD。


摩尔领域之外市场空间广阔,2020-2026 年市场规模 CAGR 约 12%。ALD 最多地应用 在集成电路制造中,但不可忽略的是,ALD 技术在微机电系统、光电子、射频元件、功率 元件、CMOS 传感器、先进封装等“超摩尔”领域具有重要应用。根据 Yole 预测,在以上 领域 ALD 市场规模将由 2020 年的 3.45 亿美元增长至 2026 年的 6.8 亿美元, CAGR 约 12%。从各细分领域来看,1)光电子器件中,预计 ALD 技术在 microLED、miniLED、硅 基 OLED 等应用 2020 年至 2026 年的复合年增长达 30%;2)功率元件 2020-2026 CAGR 预 计 12%;3)射频元件 2020-2026 CAGR 15%;4)先进封装 2020-2026 年 CAGR 13%。


全球头部厂商不断拓展超摩尔领域应用。2020 年,在超摩尔领域 ASM、TEL、 Picosun 占据全球份额的 58%。ASM、TEL 是全球头部半导体设备公司,主要应用领域在 逻辑和存储器件。Picosun 是一家专注于 ALD 技术的公司,积极扩展逻辑存储市场之外的“超摩尔”市场,目前其产品覆盖领域除了新型存储器、MEMS、RF、化合物半导体功率 器件、先进封装之外,还涉足医疗、奢侈品、能源和工业涂料市场。


2.2 半导体设备:国产替代正当时,ALD 设备空间打开


2.2.1 我国是全球最大半导体设备市场,晶圆厂逆周期扩产带来设备增量


中国大陆成为全球最大半导体设备市场,销售额增速显著高于全球。2005-2021 年, 全球、中国大陆半导体设备销售额年化复合增长率分别为 7%、21%,中国大陆市场增速显 著快于全球。2021 年全球、中国大陆半导体设备销售额分别为 1026 亿美元、296 亿美元, 同比增长 44%、58%。全球半导体产业不断向我国大陆转移,我国半导体设备销售额占全 球比重逐年提升,2021 年中国大陆半导体设备销售额占全球销售额 29%,是全球最大半导 体设备市场。


中芯国际上调 2022 年资本开支,中国大陆预计 2022-2026 年新增 25 座 12 英寸晶圆 厂。中芯国际上调 2022 年资本开支从 50 亿美元至 66 亿美元,预计未来 5~7 年新增 34w 片 产能扩产。据集微网统计,2022 年中国大陆共有 23 座 12 英寸晶圆厂正在投产,总计月产能约为 104.2 万片,与总规划月产能 156.5 万片相比,产能装载率仅达到 66.58%,仍有较 大扩产空间。预计中国大陆 2022 年-2026 年还将新增 25 座 12 英寸晶圆厂,总规划月产能 将超过 160 万片。预计截至 2026 年底,中国大陆 12 英寸晶圆厂的总月产能将超过 276.3 万 片,相比目前提高 165.1%。


2.2.2 薄膜沉积设备:晶圆制造主设备之一,2020-2025 年全球市场规模 CAGR 15%


刻蚀、薄膜沉积、光刻设备为半导体三大核心工艺设备,占设备投资额约 80%。在晶 圆制造设备中,刻蚀设备、薄膜沉积设备、光刻设备是价值量最高的三大主设备,分别占 比约 30%、25%、23%,薄膜沉积设备价值量仅次于刻蚀设备。薄膜沉积设备技术种类最 多,如 PECVD、LPCVD、PVD、ALD 等,ALD 设备约占薄膜沉积设备价值量的 11%。


薄膜沉积是指采用物理或者化学的方法使物质附着于衬底材料表面的过程。按工艺原 理的不同,集成电路薄膜沉积可分为物理气相沉积(Physical Vapor Deposition,PVD)、化 学气相沉积(Chemical Vapor Deposition,CVD)和原子层沉积(Atomic Layer Deposition, ALD)设备。


1)物理气相沉积(PVD):采用物理方法将材料源(固体或液体)表面气化成气态原 子或分子,或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具 有某种特殊功能的薄膜的技术。PVD 镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀 膜和真空离子镀膜。


2)化学气相沉积(CVD):化学气体在外部能量作用下发生化学反应,在衬底表面沉 积薄膜的一种工艺。用于沉积的材料包括介电材料、绝缘薄膜、硬掩模层以及金属膜层的 沉积。常见的 CVD 包括低压化学气相沉积(LPCVD)、常压化学气相沉积(APCVD)、等 离子体增强型气相沉积(PECVD)、金属有机化合物化学气相沉积(MOCVD)。


3)原子层沉积(ALD):原子逐层沉积在衬底材料上的工艺,通过将两种或多种前驱 物交替通过衬底表面,发生化学吸附反应逐层沉积在衬底表面,能对复杂形貌基底表面全 覆盖成膜。由于 ALD 设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜 厚度控制,实现了芯片制造工艺中关键尺寸的精度控制,在结构复杂、薄膜厚度要求精准 的先进逻辑芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。ALD 设 备主要分为 PE-ALD 和 Thermal ALD。


三种薄膜沉积技术互为补充,ALD 在 45nm 以下具有广阔应用场景。在芯片的制造过 程中,涉及十余种不同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和 材料的薄膜,因此 PVD、CVD、ALD 三类薄膜沉积技术依靠各自技术特点拓展适合的应 用领域,材料制备上相互补充,如 PVD 一般用于较厚的金属及导电类的平面膜层制备; CVD 一般适用中等以上厚度的膜层制备、应用范围广;ALD 可以一个原子的厚度(约 0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的 应用。


全球薄膜沉积设备由美日荷兰高度垄断,国产替代空间广阔。ALD 设备市场中,东京 电子(TEL)和先晶半导体(ASMI)分别占据了 31%和 29%的市场份额;PVD 市场中, 应用材料(AMAT)占 85%的比重;CVD 市场中,应用材料(AMAT)全球占比约为 30%,泛林半导体(Lam)、TEL 分别占 21%和 19%,三大厂商占据了全球 70%的市场份 额。


全球薄膜沉积设备市场规模稳步增长。根据 Maximize Market Research 数据,预计全 球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340 亿美元, CAGR 约 15%。全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的 增加。


2.2.3 ALD 设备:芯片微缩的关键推动者, 预计 2024 年我国 ALD 设备市场超百亿


ALD 是芯片微缩的关键推动技术,在 45nm 以下制程应用环节不断增加。随着制程的 缩小,原来用于成熟制程的溅射 PVD、PECVD 等工艺无法满足部分工序要求,ALD 技术 凭借薄膜厚度精确度高、均匀性好、台阶覆盖率极高、沟槽填充性能极佳等优势,在 45nm 及以下制程中应用不断拓宽,具有广阔的市场前景。(1)45nm 及以下:为了减小器件的漏 电流及多晶硅栅电极耗尽效应,传统的二氧化硅栅介质、多晶硅栅电极分别被 ALD 工艺生 长的高介质材料及金属栅所取代。(2)28nm 及以下:ALD-W 作为 W-CVD 生长的籽晶层 在 W 栓塞工艺中得到应用。(3)14nm 及以下:3D FinFET 器件结构的引入及更小器件尺 寸对薄膜生长的热预算、致密度及台阶覆盖率有更高的要求,使得 ALD 薄膜生长技术有了更多应用,如 ALD-Si3N4 作为器件侧壁隔离层以及 ALD-SiO2 作为子对准硬掩模在双重光 刻技术(SADP)及四重光刻技术(SAQP)中得到应用。在长江存储 3D NAND 产线中, 所需的 ALD 设备数量已超越 PECVD。


ALD 市场驱动因素:节点缩小、HKMG、3D NAND、FinFET 及 GAA 的应用。 (1)High-k 栅介质层沉积依靠 ALD 技术。晶圆制造 65nm 制程及以上中,集成电路 主要通过沉积 SiO2薄膜形成栅极介质,但进入 45nm 制程特别是 28nm 之后,传统的 SiO2 栅介质层薄膜材料厚度需缩小至 1 纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效 应,导致漏电流急剧增加、器件性能急剧恶化,此时用高 k 材料替代 SiO2 可优化器件性 能。常见的高 k 材料包括 TiO2、HfO2、Al2O3、ZrO2、Ta2O5 等。其中 HfO2 的介电常数 为 25,具有适合的禁带宽度(5.8eV),因此 HfO2 作为栅介质层得到了业内广泛的应用。 高 k 材料的沉积要求原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,需要应用 ALD 技术。2007 年,Intel 公司率先将 ALD 沉积的超薄 Hf 基氧化物薄膜作为栅介质层引入到 45nm 节点,获得了功耗更低、速度更快的酷睿微处理器。


(2)ALD 可实现 3D NAND 结构中的良好填充。在存储芯片领域,主流制造工艺已 由 2D NAND 发展为 3D NAND 结构,内部层数不断增高,元器件逐步呈现高密度、高深 宽比结构,PVD 和 CVD 难以达到沉积效果,ALD 则可以实现高深宽比特征下的均匀镀 膜。以最具挑战性的向字线中填充导电钨为例:3D NAND 交替堆叠氧化物和氮化物介电 层,目前层数多达 96 层。密集排列且具有高深宽比的孔渗透至这些层中,按照高深宽比通 道将排列分为字线。为了创建存储单元,必须移除氮化物层并以钨进行替换。这种钨必须 通过深(垂直深度 50:1)通道引入,然后横向扩散,从而以无孔洞的超共形沉积方式填充 (之前的)氮化物水平面(横向比约 10:1)。原子层沉积能够一次沉积一个薄层,这就确保 了均匀填充,并防止因堵塞而产生的空隙。


(3)新型立体结构晶体管沉积需要 ALD 技术。在标准平面(Planar)替换闸极技术 中,金属栅极堆叠可由 ALD、PVD、CVD 组合而成,ALD 用于覆盖性关键阻障物(critical barrier)与功函数(work function)设定层,传统 PVD 和 CVD 用于沉积纯金属给低电阻率闸极 接点。随着器件过渡到三维鳍型结构晶体管(FinFET)以及下一代围栅(GAA)等三维结 构,PVD 和 CVD 则难以达到沉积效果,需要使用 ALD 作为解决方案。


(4)ALD 技术可协助光刻机实现更先进的制程。自 2011 年开始,代工厂开始采用效 率更高、功耗更低的 22nm/16nm/14nmFinFET 晶体管结构,但由于当光罩线宽接近光源波 长时将会发生明显的衍射效应,会导致光刻工序的失效。多重曝光技术是指在现有的光刻 机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质 层作为二次曝光的部分遮挡层。在此过程中,由于多重曝光增加了多道薄膜沉积工序,需 要薄膜技术具有接近 100%的保形性、薄膜厚度控制精准,因此 ALD 技术被迅速推广应 用。


ALD 设备市场增速显著快于其他设备,2020-2025 年 CAGR 26.3%。根据 SEMI 预 计,2020-2025 年全球 ALD 设备年复合增速达 26.3%,所有晶圆制造设备中增速最快。目 前半导体 ALD 设备仍基本由境外厂商垄断,国内 ALD 设备公司主要包括微导纳米、拓荆 科技和北方华创。微导纳米设备主要为 TALD,主要用于沉积金属薄膜,拓荆科技为 PEALD 设备,主要沉积 SiO2 等非金属薄膜。


预计 2024 年我国 ALD 设备市场规模超百亿元人民币。2020 年全球薄膜沉积设备市场 172 亿美元,其中 ALD 设备市场规模占薄膜沉积设备的 11%,测算得 2020 年 ALD 设备全 球市场规模 19 亿美元。SEMI 预测,2020-2025 年全球 ALD 设备年复合增长率 26.3%,假 设中国大陆半导体设备销售额在全球占比保持在 30%、ALD 设备年复合增速与全球 ALD 设备增速相同,据此测算 2022-2025 年我国 ALD 设备市场规模将由 63 亿元增长至 128 亿 元。


2.3 光伏设备:N 型电池时代来临,新型电池技术迎产业化新机遇


2.3.1 光伏行业景气度高,N 型电池技术拐点已至


全球能源转型背景下,光伏装机需求高。根据国际能源署,过去 10 年光伏度电成本从 2010 年的 0.381 美元/度下降至 2020 年 0.057 美元/度,下降幅度高达 85%。度电成本下降 推动全球光伏新增装机从 2011 年的 30GW 提升至 2020 年的 130GW。CPIA 预计 2030 年全 球新增装机需求达 315-366GW,中国光伏新增装机需求达 105-128GW。


N 型电池时代来临,预计 N 型技术将快速占领市场。我国光伏电池技术已经历了两代 电池片技术,2017 年以前,市场主流技术是 Al-BSF(铝背场)电池技术,2018 年后 PERC 电池凭借转化效率高具备商业可行性而逐渐成为主流电池技术。根据中国光伏行业协会的 统计数据,2019 年至 2021 年的新建量产产线以 PERC 电池产线为主,PERC 电池片在 2021 年的市场占比进一步提升至 91.2%。随着 PERC 电池产业化效率逐渐接近理论极限,行业 开始布局新型光伏电池技术,代表技术包括 TOPCon、HJT、xBC 等。


公司光伏设备位于中游电池片生产环节。光伏产业链分为上、中、下游,上游为晶体 硅料的生产和硅棒、硅锭、硅片的加工制作;中游为光伏电池片的生产加工、光伏电池组 件的制作;下游为光伏应用(包括电站项目开发、电站系统的集成和运营)。公司产品主要 用于光伏产业链的中游电池片生产环节,为太阳能电池片厂商提供镀膜设备,是光伏电池 片生产环节的关键工艺设备。


2.3.2 TOPCon:新一代光伏电池技术,2022 年规模化量产起步


N 型电池中 TOPCon 电池率先进入规模化应用。目前 N 型电池主要有 TOPCon 和 HJT 两种可规模量产的技术。TOPcon(隧穿氧化层钝化接触,Tunnel Oxide Passivated Contact)太阳能电池最早是 2013 年第 28 届欧洲 PVSEC 光伏大会上德国 Fraunhofer 太阳能 研究所首次提出的一种基于选择性载流子原理的隧穿氧化层钝化接触的太阳能电池。其核 心是在电池背面制备一层 1-2nm 隧穿氧化层(SiO2)(化学钝化作用),然后再沉积一层掺 杂多晶硅(场钝化作用),两者共同形成钝化接触结构,为硅片背面提供良好的界面钝化。 一方面,由于氧化层很薄,多晶硅层有重掺杂,所以多数载流子可以穿过氧化层,而少数 载流子被阻挡;另一方面,在电池表面背面的金属化过程中,金属电极仅与表面掺杂多晶 硅形成金属化,避免了与硅衬底直接接触带来的接触复合,显著降低界面复合且兼顾了良 好的接触性能。


TOPCon 优势一:理论极限效率高,更接近太阳能电池极限效率。据德国哈梅林太阳 能研究所测算,从理论极限效率来看,TOPCon 电池的理论极限效率高达 28.7%,高于 PERC 电池的 24.5%和 HJT 电池的 27.5%,接近晶体硅太阳能电池的理论极限效率 29.4%。


TOPCon 优势二:经济性优势,具备量产可行性。N 型电池技术具有转换效率高、双 面率高、温度系数低、无光衰、弱光效应好、载流子寿命长等优点,逐渐成为电池技术主 要发展方向。从技术成熟度、产业链完整度、建设和运营成本来看,目前 TOPCon 技术由 于可以与 PERC 兼容、设备投资成本更优等特点,量产前景更明确。


TOPCon 电池成本端基本实现与 PERC 打平,未来有望继续降本。根据 Solarzoom 数 据测算,目前 TOPCon 成本比 PERC 高约 0.07 元/W:主要来自银浆耗量及设备折旧。1) 银 耗:PERC 电池单片银浆耗量 70mg,而 TOPCon 电池单片银浆耗量约 120mg,目前市场上 银浆约 5500 元/kg,TOPCon 银浆成本高 0.04 元/W;2) 设备投资带来的折旧:相较于 PERC,TOPCon 单 GW 投资额增加约 5000-7000 万元,按照 6 年折旧周期,对应成本增加0.01 元/W;3) 硅片成本已基本打平:以中环 7 月公布的 166 硅片报价 6.7 元测算,在 PERC 23%效率对比 TOPCon 24.5%效率的基准下,N 型较 P 型硅成本已基本打平。


光伏新老玩家加码 TOPCon,TOPCon 产业化持续推进。据不完全统计,TOPCon 及 新型高效电池已投产、在建及规划产能达 310GW。截至 2022 年 Q3,行业已有 40GW+ N 型 TOPCon 电池实现投产,目前晶科、天合、中来、晶澳、通威、钧达、一道、润阳、上 机等厂商均有不同规模的投入计划,预计 2023-2025 年迎来扩产高峰期、年均扩产规模有 望超 100GW。


TOPCon 近两年市场将加速放量,预计 2022-2025 年市场空间或超 840 亿元。假设: 1)我国电池片产量从 2021 年的 198GW 增长至 2025 年的 679GW,电池片产能从 361GW 增长至 1132GW。2)TOPCon 市占率从 2021 年的 4%提升至 2025 年的 40%。3)设备投资 额从 2021 年的 2.2 亿,下降至 2025 年的 1.8 亿元。测算得出预计 2022-2025 年 TOPCon 市 场空间合计超过 840 亿元,2023-2025 年为 TOPCon 扩产高峰。


TOPCon 技术方案多样, PECVD 有望接替 LPCVD 成为主流。在 PERC 技术升级为 TOPCon 的核心三大工艺步骤中(隧穿氧化层、多晶硅层、扩散),多家厂商推出了各自的 技术方案,氧化层需要新增的设备主要是氧化炉、PECVD、PEALD,隧穿氧化层一般需要 增加 LPCVD、PECVD,根据掺杂方案的不同,需要增加退火炉、扩散炉或者离子注入 机。LPCVD 工艺路线成熟度最高,为目前 TOPCon 电池制备的主流工艺,未来随着 PECVD 技术不断完善,工艺稳定性不断提升,PECVD 有望因其更低成本而逐渐成为主 流。LPCVD 出现时间最早,发展最为成熟,成膜质量高、产能高,但存在较为严重的绕 镀问题,且石英管等耗材成本较高。PECVD 绕镀问题轻微,无需使用石英管因而耗材成 本低,但目前成膜均匀性不稳定、成膜致密度不高,后续有望受益于技术迭代成为主流技 术。PVD 不存在绕镀问题,但设备投资额高,成膜质量不稳定,工艺路线有待成熟。


2.3.3 钙钛矿:原子层沉积可用于钙钛矿电池的制备和封装,产业化渐行渐近


钙钛矿电池或为下一代光伏技术,渐成崛起之势。钙钛矿是一种分子通式为 ABX3 的 晶体材料,呈八面体形状,光电转换效率高,在光伏、LED 等领域应用广泛。钙钛矿太阳 能电池(PSCs)是利用钙钛矿结构材料作为吸光材料的太阳能电池,具有高效率、低成 本、高柔性等优势。


钙钛矿电池理论极值高于晶硅,可制 TOPCon、HJT 叠层电池。钙钛矿可制备 2 结、3 结及以上的叠层电池,单结 PSCs 当前最高转换效率达 25.7%,理论转化效率达 31%。目前 钙钛矿-硅异质结叠层电池实验室效率世界记录达 31.3%,钙钛矿-TOPCon 叠层电池转换效 率世界纪录为 28.2%。


稳定性是制约钙钛矿太阳能电池产业化的重要因素。钙钛矿太阳能电池主要缺点是寿 命短(稳定性低)。目前钙钛矿太阳能电池的 T80 寿命(效率下降到初始值的 80%)约 4000 小时,距当前主流光伏技术的 25 年寿命相差甚远。从原因来看,钙钛矿太阳能电池 不稳定的原因可以分为吸湿性、热不稳定性、离子迁移等内在因素,和紫外线、光照等外 在因素。


原子层沉积可用于钙钛矿电池的制备和封装。钙钛矿电池结构多样,核心结构包括电 子传输层、钙钛矿层和空穴传输层,工艺包括薄膜制备、激光刻蚀、封装三步。原子层沉 积可用于电子传输层和空穴传输层、电池封装中。1)在传输层制备中,原子层沉积技术可 以使电子传输层在保持较薄厚度的前提下,保持较好的均匀性和保型性,保证薄膜的电学 性能。2)在电池封装中可应用原子层沉积制备阻水阻氧层。钙钛矿电池的不稳定性极高, 水分和氧气的侵入是导致该爱看不稳定的主要外在因素,利用致密无孔洞的原子层沉积氧 化物可以很好地阻隔水氧,维持钙钛矿电池地稳定性。


钙钛矿中试线逐步建设,产业化渐行渐近。PSCs 生产主要厂商协鑫光电、纤纳光电、 极电光能均已完成超亿元融资,协鑫光电已投建全球首条 100MW 大面积组件中试线,极 电光能也已开始建设 150MW 试验线,纤纳光电七次刷新小组件世界纪录,产业化发展欣 欣向荣。


3 竞争优势:ALD 技术优势打开市场,推动技术平台化发展


3.1 半导体:ALD 技术引领者,突破卡脖子技术


实现高端半导体 ALD 设备国产化从 0 到 1 突破,有望快速占领市场。首套用于 300mm(12 英寸)晶圆的 High-k 栅氧层薄膜沉积的 ALD 设备在客户 28nm 生产线上获得 验证,设备总体表现和工艺关键性能参数达到国际同类水平,并已取得客户重复订单,实 现了国产半导体 ALD 设备在 28nm 集成电路制造关键工艺量产线上的突破,该设备荣获中 国第十五届半导体创新产品。半导体 ALD 设备的国产化实现了从 0 到 1 的突破,自主可控 背景下公司 ALD 设备有望实现快速放量。


半导体 ALD 技术行业领先,产品性能达到国际同类水平。公司自 2019 年布局半导体 ALD 设备以来,仅一年时间即推出 ALD high-k 设备发往客户,九个月即获得下游客户验 证并用于量产,充分体现公司技术实力。公司专注于 ALD 技术,解决了先进制程中薄膜沉 积均匀性、金属污染及颗粒污染等工艺难题,满足了先进器件产品生产要求。从半导体薄 膜沉积设备性能指标来看,公司半导体 ALD 设备的设备产能、平均故障间隔时间、平均修 复时间、均匀性、薄膜颗粒控制、金属污染控制等多个技术指标已达到国际同类设备水 平,反应源的可拓展性、机台稳定运行时间等部分指标数据占有优势。


布局逻辑+存储+显示+化合物半导体,已获多个先进领域订单。公司已在逻辑芯片、 先进存储、化合物半导体等多个半导体细分应用领域获得知名半导体公司的商业订单。至 2022 年 6 月末,公司半导体设备合同金额超过 1.5 亿元。ALD 技术在先进逻辑芯片、新型 存储芯片、化合物半导体、新型显示芯片等半导体领域中拥有良好的应用前景,①在逻辑 芯片领域,“28nm 逻辑芯片中高 k 栅介质层”是国内集成电路突破 28nm 先进制程节点最 难的工艺之一,公司设备已实现客户量产线验证。②在存储芯片领域,“存储芯片的高 k 栅 电容介质层、介质覆盖层、金属层”应用于新型存储器,如新型铁电存储器具有非易失性 铁电场效应,将有助于克服高速处理器和低速大容量内存之间的速度差异造成的传输瓶颈问题,成为下一代主流存储方向之一。③在新型显示芯片领域,“硅基微型显示芯片的阻水 阻氧保护层”应用于硅基 OLED 微型显示芯片,该类显示芯片采用集成电路 CMOS 工艺, 作为半导体和 OLED 结合的一种新型显示技术,具有较大发展前景。④在化合物半导体领 域,“第三代化合物半导体的钝化层和过渡层”应用第三代化合物半导体功率器件,具有广 阔的市场前景。⑤“半导体量子器件的超导材料导电层”应用于半导体量子器件,属于目 前半导体领域的前沿技术。


3.2 光伏:光伏技术布局全面,订单有望高速增长


公司以 ALD 技术为核心,已发展三代光伏设备产品:一代 ALD 设备、二代 PECVD/ 祝融 PEALD/羲和扩散炉、三代 TOPCon 工艺整线设备。公司在光伏领域持续以 ALD 技术 路线为核心,深化发展包括热工艺 ALD 和等离子体工艺 PEALD 在内的 ALD 技术,同时 兼顾 PECVD 等其他技术路线,以满足 TOPCon、HJT、IBC、钙钛矿等不同电池对不同薄 膜工艺设备的需求。


公司 ALD 设备在新型电池产线中具备技术优势。PERC 中背面 Al2O3 镀膜使用 PECVD 和 ALD 设备镀膜效果差别不大,但在 TOPCon 电池正面(具有金字塔结构的绒 面)Al2O3 钝化层的制备中,PECVD 的生长速率快可能会导致钝化效果略差于 ALD,且 ALD 技术具有优异的保形性且薄膜材料密度一致,因此成为 TOPCon 电池正面 Al2O3 钝化 层的主流技术路线。2022 年 1-6 月开标的 TOPCon 产线项目中正面 Al2O3 钝化层制备均使 用 ALD 技术。公司 ALD 设备在新型电池产线中得到下游客户广泛认可,2022 年上半年 TOPCon 及 xBC 电池公开招标产线中,公司 ALD 设备中标规模达 75%。


在 TOCon 领域,公司 ALD 设备市占率高达 60%-70%,逐步向整线设备商迈进。公 司开发的 PEALD 二合一平台,集成了 PEALD 和 PECVD 两种工艺,分别用于制备隧穿层 和多晶硅层,能够弥补 LPCVD 技术存在的不足。2021 年 4 月,微导纳米与尚德电力就 2GW TOPCon 整线项目签订合作协议,携手打造全球首条 GW 级以原子层沉积技术为核心 的 TOPCon 整线,可兼容 182 mm 及 210 mm 硅片电池,目前量产效率已达到 25%,处于 行业领先水平。2023 年 1 月,公司公告拟向彭山通威销售 ALD 钝化设备及 PE-Poly 设备, 合同金额总计人民币 45,180.00 万(含税),代表公司 PE-Poly 设备的龙头客户的突破,为 进一步推动整线设备导入客户树立标杆作用。


技术布局全面,xBC、HJT、钙钛矿有望放量。在 xBC 领域,公司已获得隆基、爱旭 批量设备订单。在 HJT 设备领域,公司正在研发采用 ALD 技术实现 TCO 薄膜的制备,也 在依托 ALD 技术开发沉积新型 TCO 薄膜的设备,例如采用 AZO(ZnO:Al)等资源储量丰 富的薄膜材料制备 TCO 薄膜,以改善目前 HJT 电池的生产技术、降低 HJT 电池片生产成 本。在钙钛矿领域,公司具备钙钛矿叠层电池产品和技术储备,ALD 设备已出口欧洲。


3.3 依托 ALD 技术延展性,拓展新应用领域未来可期


国家级专精特新“小巨人”,在多个领域具有技术先进性。公司先后承担了江苏省科 技厅“基于原子层沉积(ALD)技术的微纳器件制造关键技术研发”、“ALD 钝化下的“超 级黑硅电池”技术及其量产装备合作开发”和“高介电常数栅介质材料原子层沉积设备的 研发及产业化”等 3 项重大科研项目。公司荣获了十余项省级以上荣誉,包括专精特新 “小巨人”企业、国家高新技术企业、江苏省“双创”团队、江苏省首台(套)重大装备 产品、苏南国家自主创新示范区独角兽企业等。公司拥有江苏省原子层沉积技术工程技术 研究中心、江苏省博士后创新实践基地、江苏省省级企业技术中心等 6 个省级研发平台, 产学研结合共同推动新技术研发。公司通过各项核心技术生产的设备在多个工艺性能上具 有先进性,包括出色的成膜质量、超高的产能、优异的稳定性和多种薄膜工艺的制备能力 等。


积极推进 ALD 技术在新产业的应用。ALD 技术是具备前瞻与共性的关键真空镀膜技 术,不仅应用于集成电路、光伏新能源、柔性电子等领域,还可应用于显示领域、燃料电 池、微机电系统及传感器、光学器件、生物医药、高功率器件等重要产业。公司作为国内 ALD 技术领军者,积极拓展 ALD 技术在各个领域的应用,如新型显示领域、新能源领 域、化合物半导体和微机电领域的研发,未来有望实现更多应用领域突破。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

微导纳米(688147)研究报告:中国ALD设备龙头,半导体光伏两翼齐飞.pdf

2024半导体行业薪酬报告.pdf

锡行业研究报告:半导体上游核心材料,供给趋紧+需求复苏下价格中枢有望持续提升.pdf

京仪装备研究报告:国内半导体专用温控废气处理设备专精特新“小巨人”.pdf

半导体设备行业专题报告:键合设备,推动先进封装发展的关键力量.pdf

半导体封装设备行业深度报告: 后摩尔时代封装技术快速发展,封装设备迎国产化机遇.pdf

光伏玻璃行业分析:供需阶段性改善,龙头竞争优势稳固.pdf

光伏发电系统用电力转换设备的安全第1部分:通用要求.docx

天合光能研究报告:全球光伏组件行业巨头,一体两翼稳步发展.pdf

光伏行业春季策略报告:需求向上景气抬升,看好紧俏环节与技术升级.pdf

光伏银浆行业专题报告:光伏银浆,量利有望超预期.pdf

【浙商证券】深度报告:中国ALD设备龙头,半导体光伏两翼齐飞.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00