先进封装专题二:HBM需求井喷,国产供应链新机遇.pdf

2023-11-14
1页
1MB

1 HBM:算力带动需求井喷,技术迭代加速


1.1 HBM 高带宽存储器助力高性能计算


HBM(High Bandwidth Memory)即高带宽存储器,按照 JEDEC 的分类,HBM 属于 图形 DDR 内存的一种,通过使用先进的封装方法(如 TSV 硅通孔技术)垂直堆叠 多个 DRAM,与 GPU 通过中介层互联封装在一起,在较小的物理空间里实现高容 量、高带宽、低延时与低功耗,已成为数据中心新一代内存解决方案。


历经多次迭代,性能多维提升。HBM 通过系统级封装(SIP)和硅通孔(TSV)技 术,拥有多达 1024 个数据引脚,显著提升数据传输能力。自 2014 年首款硅通孔 HBM 产品问世至今,HBM 技术已经发展至第四代,最新的 HBM3 带宽、堆叠高度、 容量、I/O 速率等较初代均有多倍提升。


高性能计算驱动 HBM 加速迭代,HBM3 升级,HBM3E 已在路上。高性能计算驱动数 据中心 HBM 需求井喷,HBM 升级速度近年明显加快。SK 海力士于 2021 年 10 月宣 布成功开发出容量为 16GB 的 HBM3 DRAM,2022 年 6 月初即宣布量产。仅过去 10 个月,SK 海力士官网再次宣布已成功开发出垂直堆叠 12 个颗 DRAM 芯片、容量高 达 24GB 的 HBM3 新品,并正在接受客户公司的性能验证。与此同时,海力士第五 代 HBM 内存 HBM3E 已在路上。英伟达于 2023 年 8 月 8 日发布的最新 GH200 预计 将搭载 HBM3E 内存,并将于 2024 年 Q2 出货。根据公开信息披露,该 HBM3E 芯片 单 pin 最大带宽达 8Gb/s,单栈最大带宽达 1Tb/s,较上一代 HBM3 提升 25%。


对比 GDDR,为何是 HBM? GDDR 和 HBM 均为针对 AI 和图形运算等高吞吐量应用的存储器架构。但图形芯片 性能的日益增长,使其对高带宽的需求也不断增加。随着芯片制程及技术工艺达到极限,GDDR 满足高带宽需求的能力开始减弱,且单位时间传输带宽功耗也显著 增加,预计将逐步成为阻碍图形芯片性能的重要因素。 以 GDDR5 为例,从单片封装性能对比,HBM 在总线位宽、时钟速率、带宽及工作 电压各个性能参数较GDDR5均更具优势。从带宽功耗比的角度来看,相同功率下, HBM 带宽是 GDDR5 的 3 倍以上。而从性能面积比的角度量化,1GB HBM 较 1GB GDDR5 的面积节省多达 94%。


高性能计算功耗问题突出。最开始数据中心通过提高 CPU、GPU 的性能进而提高 算力,但处理器与存储器的工艺、封装、需求不同,导致二者之间的性能差距逐 步加大。英伟达创始人黄仁勋曾表示计算性能扩展的最大弱点就是内存带宽。以 谷歌第一代 TPU 为例,其理论算力值为 90TFOPS,但最差真实值仅 1/9,即 10TFOPS 算力,因为其相应内存带宽仅 34GB/s。此外,在传统架构下,数据从内存到计算 单元的传输功耗是计算本身能耗的约200倍,而用于计算的能耗和时间占比很低, 数据在内存与处理器之间的频繁迁移带来严重的功耗问题。


HBM 打破内存带宽及功耗瓶颈。HBM 不同于传统的内存与处理器基于 PCB 互联的 形式,而是基于与处理器相同的“Interposer”中介层互联实现近存计算,显著 减少数据传输时间,且节省了布线空间。而基于 TSV 工艺的 DRAM 堆叠技术则显 著提升了带宽,并降低功耗和封装尺寸。根据 SAMSUNG,3D TSV 工艺较传统 POP 封装形式节省了 35%的封装尺寸,降低了 50%的功耗,并且对比带来了 8 倍的带 宽提升。


HBM 正成为 HPC 军备竞赛的核心。英伟达早在 2019 年便已推出针对数据中心和 HPC 场景的专业级 GPU Tesla P100,当时号称“地表最强”的并行计算处理器, DGX-1 服务器就是基于单机 8 卡 Tesla P100 GPU 互连构成。得益于采用搭载 16GB 的 HBM2 内存,Tesla P100 带宽达到 720GB/s,而同一时间推出的同样基于 Pascal 架构的 GTX 1080 则使用 GDDR5X 内存,带宽为 320GB/s。此后英伟达数据中心加 速计算 GPU V100、A100、H100 均搭载 HBM 显存。最新的 H100 GPU 搭载 HBM3 内 存,容量 80Gb,带宽超 3Tb/s,为上一代基于 HBM2 内存 A100 GPU 的两倍。而作 为加速计算领域追赶者的 AMD 对于 HBM 的使用更为激进,其最新发布的 MI300X GPU 搭载容量高达 192GB 的 HBM3 显存,为 H100 的 2.4 倍,其内存带宽达 5.2TB/s, 为 H100 的 1.6 倍,HBM 正成为 HPC 军备竞赛的核心。


此前,推理环节多数搭载 GDDR6 内存,内存瓶颈更甚于训练环节,HBM 升级替代 需求迫切,市场规模将持续增长。目前大多数项目的 LLM 推理都是作为实时助手 运行,这意味着它必须实现足够高的吞吐量,以便于用户实际使用。人类平均每 分钟阅读约 250 个单词,但有些人的阅读速度高达每分钟约 1000 个单词。在 1 万亿参数密集模型中,由于内存带宽限制,即使 8 颗 H100 也无法满足每分钟 1000 个单词对应标识符的极端吞吐量。


从成本的角度来看,HBM 虽然价格远高于普通 DRAM,但相对于同样靠近处理器的 SRAM 价格更低。特斯拉 Dojo 的 D1 芯片拥有 354 个核心 440MB 的 SRAM,每 MB SRAM 成本约 15-20 美元,仅此单项成本就接近 9000 美元,而最新发布的 AMD 的 MI300X HBM 的成本约为 5760-7680 美元。虽然 SRAM 带宽能够达到 800GB/s,但 由于容量太低,不适合 ChatGPT 这样的大模型,Dojo 依然需要搭配 HBM 使用。


消费领域早已应用,价格是重要限制因素。HBM 的特性决定其更适用于 HPC 领域, 但是早在 2015 年,AMD 就已推出搭配 HBM 的消费级显卡 AMD Radeon R9 Fury X, 该卡性能对比 GTX1060 互有胜负,但其尺寸仅为 GTX 1060 一半左右。阻碍 HBM 进入消费领域的一大因素是价格,HBM 由于其复杂的设计及封装工艺导致产能较 低同时成本较高。但随着工艺成熟度提高带来的产能释放,其显著的尺寸及低能 耗优势或将成为驱动 HBM 进入高端消费领域尤其是移动领域应用的重要力量。


CPU 搭配 HBM 先河已开,配合 DDR 提供灵活计算方案。通常认为 CPU 处理的任务 类型更多,且更具随机性,对速率及延迟更为敏感,HBM 特性更适合搭配 GPU 进 行密集数据的处理运算。2022 年底,英特尔正式推出全球首款配备 HBM 内存的 x86 CPU:Intel Xeon Max 系列。该 CPU 具有 64GB 的 HBM2e 内存,分为 4 个 16GB 的集群,总内存带宽达 1TB/s。在 MLPerfDeepCAM 训练中,XeonMax 系列 CPU 的 AI 性能比 AMD 7763 提升了 3.6 倍,比 NVIDIA 的 A100 提升了 1.2 倍。Xeon Max 系列支持三种不同的运算模式:仅 HBM 模式、HBM 平面(1LM)模式和 HBM 缓存模 式,其中 HBM 平面模式和 HBM 缓存模式为搭配 DDR5 的方案。考虑到 HBM 的内存 带宽大但容量相对小,而 DDR 一般容量相对大但内存带宽小,根据不同场景将 DDR 和 HBM 搭配使用,可提供更为灵活的内存运算形式。


大模型本地化解决数据安全性等重要问题。终端 AI 的应用十分广泛,科技巨头 对用户的数据控制引发广泛的安全和隐私担忧,人工智能领域的领导者包括谷歌、 Meta、百度和字节跳动等公司目前的盈利能力均不同程度来源于基于用户数据肖 像的广告定位,终端算力安全优势不言而喻。此外,本地模型还具备实现移动设 备脱网使用、减少延时等优势,有望成为未来移动终端设备的标配。 终端硬件存力限制本地模型参数规模,HBM 或是答案。不同于云端算力搭配专用 GPU 工作,本地模型推理的算力更多依赖于终端硬件 SoC,算力瓶颈可以依靠未 来的芯片架构升级(Chiplet)以及制程升级(3nm/2nm 工艺)解决,存力优化才 是大模型终端应用的重中之重。即使保守假设正常的非 AI 应用程序以及缓存唤 醒等消耗带宽的一半,iPhone14 上最大的可运行模型大小仅为约 10 亿个 FP16 参数。可以说,存力是未来 LLM 终端化应用的最大障碍。但考虑到 AMD 早前便已 推出消费端应用的 HBM 产品,英特尔也已推出搭配 CPU 的 HBM 产品,meta 和高通 也已于近日宣布大语言模型 Llama 2 将在手机和 PC 上的高通芯片上运行。未来 最先进的移动端设备或有望率先搭载 HBM 突破客户端大模型的存力障碍。


英伟达 H100 GPU 订单已排至 2024 年,CoWos 成 HBM 重要瓶颈。HBM 的高焊盘数 和短迹线长度需要 2.5D 先进封装技术,以实现密集的短连接。当前 HBM 2.5D 封 装的主流方案包括:以台积电的 CoWos-S 为代表的 sillicon interposer(硅中 介层)连接方案,以及英特尔的以 EMIB 为代表的“sillicon bridge”(硅桥接) 连接方案。 硅中介层的优势在于可以提供更高的互联密度,有效满足芯片异构集成的互联要 求。与此同时,以台积电为代表的领先代工厂可以自行生产硅中介层材料并进行 后续的 CoW 环节,有效缩短制造周期并控制成本。而全球先进的封装厂商虽可以 提供类似于 CoWos 的解决方案,但硅中介层仍需外购,这也进一步加大了 AI 芯 片厂商对台积电 CoWos 的需求。硅中介层的缺点在于价格昂贵,且中介层面积受 掩模版尺寸限制拓展难度愈发加大。 硅桥接方案不受掩模版尺寸限制,可以显著减小生产成本且使用灵活,但其生产 制造难度更高,桥接层需要嵌入封装基板中,当前全球仅极少数基板厂商可以配 合产品。且桥接层嵌入公差随互联密度增加控制难度加大,尤其是随着 HBM3、 HBM3E 等更高密度的产品出现,HBM 的桥接互联方案短期或存在一定带宽限制。


AI 芯片需求激增,台积电加大 CoWos 产能。早前基于硅中介层的 CoWos 封装由 于价格昂贵订单量稀少,因此台积电也未分配过多产能。随着 2023 年以来生成 式 AI 的火热发展,以英伟达 H100 GPU 为代表的 AI 芯片订单需求大幅增长,AWS、Google 等云厂商也宣布投入 AI 芯片的发展,台积电因此面临 AI 芯片的产能不 足,宣布扩产计划。2023 年 6 月,台积电宣布在竹南开设先进后端晶圆厂 6,该 晶圆厂占地 14.3 公顷足以容纳每年 100 万片晶圆的 3DFabric 产能,包括 CoWoS、 SoIC 和 InFO 技术。


1.2 算力需求井喷,HBM 市场规模高速增长


供需位元有望在 2024 年改善,HBM3 份额将持续大幅提升。2023 年受 AI 需求大 幅增长带来的客户端加单导致原厂产能无法满足需求,预计 2024 年随着各原厂 积极扩产的效果显现,HBM 供需比有望获改善,预估将从 2023 年的-2.4%,转为 0.6%。而从各产品的占比来看,2023 年主流 HBM 需求从 HBM2E 升级为 HBM3 甚至 HBM3E,HBM3 需求比重预估约为 39%,较 2022 年提升超 30%,并在 2024 年达到 60%,届时份额比重也将超过 HBM2E。


算力需求井喷叠加产能受限,HBM 价格高增,市场规模高速增长。从成本端来看, HBM 的平均售价至少是 DRAM 的三倍,此前受 ChatGPT 的拉动同时受限产能不足, HBM 的价格一路上涨,与性能最高的 DRAM 相比 HBM3 的价格上涨了五倍。根据 TrendForce,高端 AI 服务器 GPU 搭载 HBM 芯片已成主流。根据 TrendForce,2022 年全球 HBM 容量约为 1.8 亿 GB,2023 年增长约 60%达到 2.9 亿 GB,2024 年将再 增长 30%。我们以 HBM 每 GB 售价 20 美元测算,2022 年全球 HBM 市场规模约为 36.3 亿美元,预计至 2026 年市场规模将达 127.4 亿美元,对应 CAGR 约 37%。


HBM 市场格局:三分天下,海力士领先。从市场格局来看,HBM 的市场份额仍由 三大家所主导。根据 TrendForce,2022 年全年 SK 海力士占据了 HBM 全球市场规 模的 50%。其次是三星,占 40%,美光占 10%。TrendForce 预测,今年海力士和三 星的 HBM 份额占比约为 46-49%,而美光的份额将下降至 4%-6%,并在 2024 年进 一步压缩至 3%-5%。


1.3 TSV 为 HBM 核心工艺,电镀液、测试、键合需求提升


TSV 为 HBM 核心工艺,成本占比接近 30%。我们对 4 层存储芯片和一层逻辑裸芯 进行 3D 堆叠的成本进行分析,考虑了 99.5%和 99%两种键合良率的情形,TSV 形 成和显露的成本占比合计分别为 30%和 28%,超过了前/后道工艺的成本占比,是 HBM 的 3D 封装中成本占比最高的部分。


TSV 通孔填充对性能至关重要,铜为主流填充材料。TSV 加工流程包括孔成型、 沉积绝缘层、减薄、电镀、CMP 等。其中,TSV 的通孔填充技术难度较大,会直接 影响电学性能和可靠性。铜凭借其超低电阻率和成本,被认为是最合适的填充材 料。目前电镀设备的主要供应商包括安美特、东京电子、Ebara 等。电镀液的供 应商包括陶氏、安美特等。


TSV 成本结构中通孔填充占比 25%,先进封装驱动电镀市场持续增长。TSV 工艺 中,通孔蚀刻占比最高,为 44%,其次为通孔填充和减薄,分别为 25%和 24%。 TECHCET 预计先进封装和高端互联应用中,电镀材料全球市场规模 2022 年接近 10 亿美元,到 2026 年预计超过 12 亿美元,市场规模增长主要系先进封装结构中 RDL、TSV 和 copper pillar 的用量增加。


电镀液稳定性对通孔填充的良率有直接影响。电镀液中有机添加剂的分解会产生 不良的副产物,从而导致通孔中出现空隙,影响导电性能。通孔的填充时间约为 40 分钟,但高度仅为 100um,因此对镀铜速率和沉积位置的控制非常重要,这一 点是靠电镀液添加剂实现的。


HBM 需要进行 KGSD(Known Good Stacked Die)测试,拉动测试需求。传统的 DRAM 测试流程包括晶圆级和封装级测试,晶圆级测试由老化测试、冷/热测试和 修复组成,而 HBM 需要进行额外的预键合测试,以检测电路中的缺陷。除此以外, 针对 HBM 中的 TSV、散热问题均需要进行额外的测试,而 HBM 底部的 Base die 也需要进行逻辑芯片的测试,测试需求相较于传统 DRAM 大幅增加。且由于 HBM 的 I/O 密度远大于 DRAM,测试方案也需要重新开发。


从 μbump 到 TCB/混合键合,键合助力 HBM 提升 I/O 密度。随着存储芯片的制造 节点不断缩小,封装尺寸和凸点间距也需要相应缩小。目前主流的 μbump 技术最 小可实现 40μm 左右的凸点间距。为了适配高集成度的 HBM 封装,TCB/混合键合 技术正在得到越来越多的青睐。


混合键合推动键合步骤和设备单价增加。以 AMD 的 EPYC 为例,从 2017 年的第一 代霄龙处理器到 2023 年最新发布的第四代产品,生产过程中所需键合步骤从 4 次提升到了超 50 次。键合技术从倒装迭代至混合键合+倒装,对键合设备也提出 了更高的要求,Besi 相应开发了 8800 Ultra 以提供混合键合的键合功能,相比 原来的倒装键合机单价提升了 3-5 倍。


2 SK 海力士技术领先,三星/美光加速追赶


2.1 SK 海力士:技术领先,核心在于 MR-MUF 技术


SK 海力士技术领先,核心在于 MR-MUF 技术。传统的 HBM 芯片堆叠多数通过 TCNCF(the thermo-compression bonding with non-conductive film,非导电膜 的热压缩键接)工艺完成,但受限于材料流动性以及 bump 数量限制存在导热以 及其他工艺缺陷等问题。MR-MUF(Mass reflow bonding with molded underfill, 批量回流模制底部填充)是海力士的高端封装工艺,通过将芯片贴附在电路上, 在堆叠时,在芯片和芯片之间使用一种称为液态环氧树脂塑封(Liquid epoxy Molding Compound,LMC)的物质填充并粘贴。对比 NCF,MR-MUF 能有效提高导 热率,并改善工艺速度和良率。


MR-MUF 工艺的核心难点在于堆叠芯片过程中产生的热翘曲问题(LMC 与硅片之间 的热收缩差异导致),以及芯片中间部位的空隙难以填充。LMC 是 SK 海力士 HBM 产品的核心材料,本身具备可中低温固化、低翘曲、模塑过程无粉尘、低吸水率 以及高可靠性等优点,通过大量的材料配方调试及热力学验证解决热收缩差异问 题。另一方面,通过改变 EMC 与芯片的初始对齐方式以及图案形状有效解决了填 充存在缝隙的问题。


除了 MR-MUF 技术,SK 海力士还在积极布局各种封装技术,包括混合键合(Hybrid Bonding)以及 Fan-out RDL(扇出型重新分配层)等多项技术。其中,混合键合 技术是指采用 Cu-to-Cu(铜-铜)键合替代传统焊接,进一步缩小间距,同时作 为一种无间隙键合(Gapless Bonding)技术,在芯片堆叠时不使用焊接凸块 (Solder Bump),因此在封装高度上更具优势。扇出型 RDL 技术适用于多个平 台,SK海力士计划将该技术用于Chiplet为基础的集成封装。线间距(Line Pitch) 和多层(Multi-Layer)是扇出型技术的关键,SK 海力士计划 2025 年将确保 1 微 米以下或亚微米(Sub-micron)级水平的 RDL 技术。


SK 海力士于 21 年 10 月即发布 HBM3,并于 2022 年 6 月正式量产,23 年 4 月,SK 海力士实现了全球首创的 12 层硅通孔技术垂直堆叠芯片,容量达到 24GB, 比上一代 HBM3 高出 50%,并且具备了 ECC 校检(On Die-ErrorCorrection Code) 功能,可以自动修正 DRAM 单元(cell)传输数据的错误,从而提高了产品的可靠性。此外,SK 海力士计划在今年年底前提供 HBM3E 样品,并在 2024 年开始 量产,公司将 HBM4 的生产目标定在了 2026 年。


2.2 三星:万亿韩元新建封装线,预计 25 年量产HBM4


万亿韩元新建封装线,预计 25 年量产 HBM4。为了应对 HBM 市场的需求,三星电 子已从三星显示(Samsung Display)购买了天安厂区内的部分建筑物和设备,用 于建设新的 HBM 封装线,总投资额达到 7000-10000 亿韩元。三星电子预计在新 的封装线上大规模生产 HBM,并且正在投入量产 8 层、12 层的 HBM3 产品。三星 预计将在 2023 年 Q4 开始向北美客户供应 HBM3,HBM3 销售额在三星 DRAM 总销售 额占比预计将从 2023 年的 6%提升到 2024 年的 18%,并将在 2023 下半年推出具 有更高性能和更大容量的 HBM 3P,目前已经开始向客户提供 8 层 HBM3E 的样品 传输速率超过 1.2TBps,并计划在 2024Q1 推出 12 层 HBM3E 的样品,在 2025 年实 现 HBM4 的量产,进一步提升 HBM 的性能和容量。 三星展示的最新的路线规划中,除了带宽、能耗以及容量及堆叠数的规划,还计 划在 HBM4 上使用 FinFET 节点替代平面型 MOSFET 来生产对应逻辑 Die,并且封 装方式将从基于 Bump 连接的 CoW(Chip on Wafer)变为基于 Pad 连接 Bumpless 形式。


三星作为存储厂商和晶圆代工厂,既提供了 HBM 方案也提供了多 HBM 封装方案, 一站式的方案有助于收获更多订单: 三星提供了 2.5D 和 3D 在内的丰富的先进封装交钥匙解决方案。包括 I-CubeS、 I-CubeE、X-Cube (TCB) 和 X-Cube (HCB)四个不同的封装类型: 1)I-CubeS 和 I-CubeE 都是 2.5D 封装技术的代表:它们的技术特点是,在一个 85x85mm²的封装中,可以同时放置多个 HBM(目前是 8 个),并且互连层的面 积是一个标准光罩的三倍,即 3x reticle。它们的微凸块间距和互连层 C4 间距分 别是 40µm 和 150µm。I-CubeS 和 I-CubeE 的未来发展方向是,将互连层的面 积扩大到 4x reticle,将 HBM 的数量提升到 12 个,将微凸块间距和互连层 C4 间 距缩小到 25µm 和 125µm,以及将封装的尺寸增加到 100x100mm²;2)3D 封装技术 X-Cube (TCB)和 X-Cube (HCB):区别在于是否使用凸块连接 技术。X-Cube (TCB)的微凸块间距和硅片厚度分别为 25µm 和 40µm,而 X-Cube (HCB)则展现了更高的技术水平,其微凸块间距和硅片厚度仅为 4µm 和 10µm, 这反映了其在精度上的提升。


展望未来,为解决封装越来越大的问题,三星提出了两种解决方案:1)在一个 logic die 上堆叠 DRAM die,提升功耗效率 40%,降低延迟 10%;2)将 Cash DRAM 堆叠在 logic die 上,提升功耗效率 60%,降低延迟 50%。


在内部互联的技术上,如果 Bump pitch 超过 20μm,可以采用基于 TCB 的微凸 块连接技术。但未来若使用基于 HCB 的铜对铜连接技术,可以实现更小的 bump size 和 bump pitch,将密度提高 100 倍,带宽提高 150 倍,功耗效率提高 30%。


光互连将发挥重要作用,使用光学 I/O 的优势是可以实现非常高的带宽密度和非 常低的功耗。三星有两种光学 I/O 的构想:一种是直接用光学 I/O 连接逻辑和存 储(包括 HBM);另一种是用光学 I/O 连接逻辑封装和存储封装。


2.3 美光:24 年量产 HBM3E,多代产品研发中


24 年量产 HBM3E,供应英伟达下一代 GPU。美光在此前的财报电话会议上表示将 在 2024 年通过 HBM3E 实现追赶,预计其 HBM3E 将在 2024Q3 或者 Q4 开始为英伟 达的下一代 GPU 供应。11 月 6 日美光在台湾台中四厂正式开工,宣布将集成先进 的探测和封装测试功能,生产 HBM3E 等产品,以应对人工智能、数据中心、边 缘计算和云端等各种应用的需求不断增加。美光也公布了最新的 HBM 产品及规 划,在技术层面进行多项变革和创新,以进行追赶并期望于实现领先。首先是将 硅通孔(TSV)数量比目前的 HBM3 产品提升两倍,并将互连尺寸缩小了 25%,更 密集的金属 TSV 互连有助于改善器件各层之间的热传递,从而降低热阻。美光还 缩小了 HBM3 Gen2 堆栈中 DRAM 设备之间的距离,封装的这两项变化显提高了 热传递效率。 根据存储线路图,除了即将推出的 HBM3 Gen2 产品之外,美光还宣布已经在开 发 HBM Next 内存,预计在 2026 年推出,该 HBM 将为每个堆栈提供 1.5TB/s– 2+TB/s 的带宽,容量范围为 36GB 至 64GB。美光计划在 2026 年至 2027 年 期间推出 36GB 至 48GB 的 12-Hi 和 16-Hi 堆栈,HBM4E 将在 2028 年量产。 HBM4 的增强版本预计将获得时钟,将带宽提升到 2+ TB/s,并将每个堆栈的容 量提升到 48GB 至 64GB。


3 重点公司分析


3.1 长川科技:完成长奕科技资产过户,产品类型丰富


长川科技 2023 年前三季度实现营收 12.1 亿元,yoy-31.1%,归母净利润 0.01 亿 元,yoy-99.6%,扣非归母净利润-1.1 亿元,yoy-140.8%,前三季度综合毛利率 57.5%,同比+3.5%。公司 2023Q3 单季实现营收 4.5 亿元,yoy-21.0%,qoq+1.1%; 归母净利润-0.19 亿元,yoy-123.8%,qoq-124.4%,扣非归母净利润-0.2 亿元, yoy-152.2%,qoq-22.2%。单季度毛利率 61.1%,yoy+10.7%,qoq+6.1%,净利率 -2.3%,yoy-17.2%,qoq-19.8%。 持续加大研发投入力度。公司前三季度研发费用 5.26 亿元,同比增长 16.89%, 占营收比重 43.5%。在将现有产品领域做专、做强,保持产品市场领先地位的基 础上,重点开拓了探针台、数字测试机等产品,不断拓宽产品线,并积极开拓中 高端市场,产品结构持续改善。


2023 年完成长奕科技资产过户,优质资产及业务纳入公司。公司于 2022 年通过 了发行股份购买资产收购长奕科技(马来西亚 Exis)的审批,并于 2023H1 完成 了资产过户。EXIS 主要从事集成电路分选设备的研发、生产和销售,核心产品主 要为转塔式分选机,EXIS 在转塔式分选机细分领域积累了丰富的经验。本次交易 完成后,标的公司优质资产及业务将进入上市公司,有助于公司丰富产品类型, 实现重力式分选机、平移式分选机、转塔式分选机的产品全覆盖,通过公司与 EXIS 在销售渠道、研发技术等方面的协同效应,提升公司的盈利能力与可持续发展能 力。


3.2 新益昌:固晶机老兵,MiniLED&半导体双轮驱动


新益昌 2023 年上半年实现营收 5.4 亿元,yoy-16.4%,归母净利润 0.44 亿元, yoy-63.9%,扣非归母净利润 0.39 亿元,yoy-65.8%,上半年综合毛利率 38.0%, 同比-5.1%,归母净利率 8.18%,同比-10.8%。2023Q2 单季实现营收 1.8 亿元, yoy-38.6%,qoq-49.5%;归母净利润-0.14 亿元,yoy-127.8%,qoq-124.4%;扣 非归母净利润-0.18 亿元,yoy-138.8%,qoq-131.7%。单季毛利率 35.4%,yoy10.2%,qoq-3.9%,净利率-7.8%,yoy-25.3%,qoq-24.2%。 Mini/Microled 加速渗透,设备环节率先迎接扩产景气度。MiniLED 背光在亮度、 对比度、色彩还原等方面远优于 LCD 显示屏,在平板、笔电、电视等中大尺寸显 示方面有成本优势,TrendForce 预计 2025 年 MiniLED 出货将达到 2600 万片。受 益于新能源车渗透率提升,车载 MiniLED 市场不断扩大,据 Omdia 预计,2023 年 全球车载显示市场规模将达到 95 亿美元。公司掌握高速精准运动控制技术、单 邦双臂同步运行技术、MiniLED 缺陷检测算法等核心技术,绑定制造环节三星、 京东方、三安等龙头客户,2023 年设备订单预计迎来非线性爆发。


拓展半导体产品矩阵,国产替代空间打开。受益于封装技术的迭代,对固晶精度 的要求越来越高,固晶机市场增速领涨半导体封测设备。公司以固晶机业务为基, 拓展焊线机和分选设备,与通富微电、华天科技、扬杰科技等客户合作紧密,我 们看好公司后道设备在客户处的单线价值量仍有数倍增长空间。随着封测厂稼动 率回暖,预计资本开支将会于 2024 年恢复,拉动封测设备需求上行。2021 年封 测设备中的焊线机和固晶机国产化率仅 3%,国产替代空间广阔。


3.3 天承科技:先进封装电镀液领军者,载板&TSV 产品突破在即


电镀液为先进封装材料中第一大单品,较传统产品价值量提升翻倍以上。内资 PCB 公司不断扩产,带动电子化学品需求扩张,其中载板国产化需求迫切,增速领先 其他细分种类。高端 IC 载板如 ABF 载板的电子化学品成本占比相较于普通 PCB 的提升 2 倍以上,达 12%。3D 封装中 TSV 渗透率迅速提升,据 Vantage Market Research 预测,TSV 市场 2022-2026 年 CAGR 为 16%,电镀液对 TSV 性能至关重 要。公司载板、TSV 和 Interposer 产品突破在即,有望提升毛利和进一步打开高 端市场空间。 公司主要产品为 PCB 专用电子化学品,高端产品市占率国内第二。公司产品为应 用于高端 PCB 生产中沉铜、电镀、铜面处理等环节的专用电子化学品,主要客户 包括深南电路、兴森科技、崇达技术、博敏电子等国内知名 PCB 企业,在中国大 陆高端市场中份额位居第二,市占率约为 20%。


水平沉铜市场贡献主要增长,电镀专用化学品壁垒高企。公司营收主要增长来自 水平沉铜专用化学品和电镀专用化学品的销售收入增长。水平沉铜专用化学品产 品销售额从 2020 年的 1.84 亿元上升到 2022 年的 2.82 亿元,毛利率稳定在 20- 25%。电镀专用化学品销售额从 2020 年的 925 万元上升到 2022 年的 3458 万元, 收入占比从 4%上升到 9%。电镀化学品核心是配方,直接材料占比不高,公司 2020- 2022 年毛利率从 73.28%增长至 84.37%,主要系高毛利盲孔填孔产品销售额占比 上升。 PCB 专用电子化学品市场稳健增长,高端产品国产化率仍待打开。预计 2021 年 中国大陆产值 PCB 专用电子化学品约为 140 亿元人民币,预计未来三年将保持 4%-6%的增长率。线路图形、铜面处理、孔金属化、电镀工艺、最终表面处理五大 PCB 制程所使用的专用电子化学品约占总产值的 10%、10%、40%、20%、20%。孔 金属化中的水平沉铜和电镀环节的专用化学品壁垒较高,国产化率均在 20%左右, 外资如安美特等公司近乎垄断。


3.4 华海诚科:环氧塑封料稀缺标的


内资环氧塑封料代表厂商。华海诚科成立于 2010 年,主要产品为环氧塑封料和 电子胶黏剂,是国内少数具备芯片级固体和液体封装材料研发量产经验的专业工 厂。公司紧密跟进下游封装技术,近一年成功研发了 low CTE2 技术和对惰性绿 油高粘接性技术,并积极开展无铁生产线技术和无硫环氧塑封料产品。 立足传统封装领域,积极布局先进封装。传统封装领域,公司在长电科技、华天 科技等部分主流厂商逐步实现了对外资厂商产品的替代,市场份额逐步提升。先 进封装领域,应用于 QFN 的产品 700 系列已通过长电科技及通富微电等知名客户 验证,实现小批量生产与销售,成为公司新的业绩增长点;应用于先进封装的颗 粒状环氧塑封料(GMC)以及 FC 底填胶等已通过客户验证,液态塑封材料(LMC) 正在客户验证过程中,有望逐步实现产业化并打破外资厂商的垄断地位。


公司 2023 年上半年实现营收 1.26 亿元,同比下降 15.29%;归母净利润 1209.24 万元,同比下降 26.92%,主要是由于消费电子等终端设备的需求不及预期,消费类芯片需求有所下滑,公司应用在消费电子类产品的订单有所下滑。公司持续加 大研发投入,2023 年上半年研发投入 1090.86 万元,同比增长 25.87%。 公司IPO募集资金主要用于高密度集成电路和系统级模块封装用环氧塑封料项目 和研发中心提升项目。高密度集成电路和系统级模块封装用环氧塑封料项目可形 成年产 11000 吨环氧塑封料的生产能力.


3.5 雅克科技:前驱体核心供应商,新材料布局驱动多维增长


电子材料布局广泛,客户资源优质。雅克科技自 2016 年以来,通过一系列外延 并购进军半导体材料,当前电子材料布局半导体前驱体、电子特气、面板光刻胶、 硅微粉和 LDS 等。通过收购韩国前驱体厂商 UP Chemical、LG 光刻胶事业部、 Cotem 成为 SK 海力士、LG 品示的核心供应商,此外雅克也已进入合肥长鑫、长 江存储、京东方等国内龙头客户,海内外客户资源优质,为后续营收业绩放量打 下坚实基础。 制程结构升级、存储 3D 堆叠趋势推动前驱体需求快速增长。根据 TECHCET 统计 数据,2021 年全球总体 ALD/CVD 前驱体市场同比增长 21%达到 13.9 亿美元,预 计在 2022 年增长 12%至近 15.6 亿美元,主要得益于 7nm 以下先进逻辑产能提升、 3D NAND 更多的层数堆叠以及 DRAM 制造发展到 EUV 光刻,共同推动前驱体市场 规模快速增长。此外,高算力芯片带动 HBM 需求,SK 海力士作为 HBM 领军企业, 2022 年 6 月宣布开始量产 HBM3,预计于 2022Q3 向英伟达 H100 系统供应 HBM3, UP Chemical 作为 SK 海力士前驱体核心供应商,有望充分受益。


碳中和背景下 LNG 进口量加速增长,复合板材顶订单激增。“碳中和”背景下全球 LNG 贸易量以及我国 LNG 进口量加速增长,LNG 运输船订单激增。LNG 复合板材是 运输船核心材料,有极佳的竞争格局,雅克作为沪东造船厂、江南造船厂等本土 造船厂的核心供应商,订单增长空间大。


3.6 深科技:高端制造全球前列,存储封测注入长期动能


存储封测国内领先,高端制造稳居全球前列。深科技成立于 1985 年,总部位于 深圳,是全球领先的专业电子制造企业,连续多年在 MMI 全球电子制造服务行业 (EMS)排名前列。2015 年全面收购沛顿科技,切入高端存储封测领域。沛顿科技 自 成 立 以 来 始 终 专 注 于 高 端 存 储 芯 片 封 装 和 测 试 服 务 , 具 有 从 高 端 DRAM/Flash/SSD 存储芯片封测到模组、成品生产完整产业链。通过收购沛顿科技, 深科技获得了其深厚的存储芯片封测技术积累和高端人才,以及强大的市场和客 户资源。目前公司半导体封测以深圳、合肥双基地模式运营,2022 年合肥沛顿存 储已通过重点客户 wBGA 及 LPDDR 产品封装量产认证和主要客户终端用户审核。 公司构建了存储半导体、高端制造、计量智能终端三大主营业务发展战略。 存储封装:先进封装形式占比提升。据 Yole 统计,2022 年存储芯片封装市场为 151 亿美元(不含测试)。随着存储带宽、存储密度的提升,存储封装经历了从引 线键合到倒装、晶圆级封装、SiP 的升级,尽管引线键合仍然主导现有封装形式, 占比 40%,倒装芯片封装占比持续提升,22 年份额达到 36%。沛顿科技具备多层 芯片堆叠技术、薄片封装能力、SiP 封装能力和倒装 FCBGA 技术等,关键技术国 内领先。合肥沛顿一期达产后,预计将形成 10 万片/月 DRAM 封装测试和 2 万片/月 Flash 晶圆存储封装以及 250 万条/月内存模组的有效产能,将充分受益存储 器国产化替代进程。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

半导体行业先进封装专题报告:HBM需求井喷,国产供应链新机遇.pdf

锡行业研究报告:半导体上游核心材料,供给趋紧+需求复苏下价格中枢有望持续提升.pdf

京仪装备研究报告:国内半导体专用温控废气处理设备专精特新“小巨人”.pdf

半导体设备行业专题报告:键合设备,推动先进封装发展的关键力量.pdf

半导体封装设备行业深度报告: 后摩尔时代封装技术快速发展,封装设备迎国产化机遇.pdf

半导体行业投资策略:AI有望推动新一轮半导体周期上行.pdf

ASMPT公司研究:变现正确的先进封装技术路径指日可待;首次覆盖给予“买入”评级.pdf

先进封装行业专题报告:CoWoS技术引领先进封装,国内OSAT有望受益.pdf

先进封装之板级封装专题报告:产业扩张,重视设备机遇.pdf

先进封装行业深度报告:AI浪潮推升先进封装需求,国产替代全面推进.pdf

先进封装设备行业深度报告:AI拉动算力需求,先进封装乘势而起.pdf

先进封装专题二:HBM需求井喷,国产供应链新机遇.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00