【平安证券】半导体行业2024年年度策略报告:创新加持,“芯”动提速.pdf

2023-12-17
43页
5MB

一、 回顾及展望:即将告别负增长,新一轮成长周期将开启


1.1 回顾:2023 年申万半导体跑输全球主要半导体指数


半导体行业跑赢沪深 300 指数,但跑输全球主要半导体指数


2023 年全年,A 股半导体指数整体呈现震荡趋势,截至 12 月 12 日,申万半导体指数下跌 2.53%,同期沪深 300 指数下跌 11.49%,申万半导体跑赢沪深 300 指数 8.96pct,其他电子板块也全部上涨。对比其他市场中国大陆申万半导体指数大幅跑 输全球半导体指数表现。同期,美国费城半导体指数大幅上涨 56.03%,跑赢纳斯达克指数 17.09pct;中国台湾半导体指数 上涨 34.31%,跑赢中国台湾50 指数 13.28pct。


半导体子板块分化明显,封测、设备表现较好


半导体子板块分化明显:截至 12 月 12 日,分立器件、半导体材料、数字芯片设计、模拟芯片设计、集成电路封测、半导 体设备涨幅分别为-24.03%、-6.51%、-0.55%、-2.95%、21.85%、4.75%,集成电路封测、半导体设备板块表现较好,而 分立器件、半导体材料等板块呈跌势。截至 12 月 12 日,申万半导体板块 PE(TTM)为 68.86 倍,低于过去三年平均值 84.49 倍。


1.2 周期复盘:行业有望逐步摆脱负增长,或将进入新一轮上升周期


全球半导体行业有望在近期走出负增长通道,开启新一轮的成长周期。其中,亚太地区是全球消费电子的风向标(手机、PC), 环比在向好;美国作为商用机器的领头羊,受益于全球人工智能、算力建设浪潮,恢复也较为明显;欧洲、日本等面向工业 电子、汽车电子等赛道,受到周期波动的影响相对较小,回升也较为平稳。


1.3 下游应用:手机引领消费电子步入复苏通道,计算电子中 AI 服务器需求亮眼


消费电子:智能手机需求正在恢复,全球销量 3 季度实现正增长


三季度,全球智能手机出货量较去年同期实现微增 0.3%,环比上升 14%。小米等国产厂商产品出货增长都较为明显,三星 也出现环比向好的迹象;苹果虽然在中国市场上面临着较大的竞争压力,但是在全球市场依然有着不错的表现,3 季度出货 量环比回升明显。


国内智能手机在磨底,环比在向好且折叠屏手机表现亮眼。虽然整体处在下降通道,但是 8 月份以来多个品牌发布旗舰手机, 市场热度已经起来。从 IDC 发布的数据来看,荣耀手机出货量同比增长 1.8%;苹果虽然在国内依然处在同比下降通道,但 是环比已开始向好;排在第五位的小米三季度出货环比也在向好。2023 年 3 季度,国内折叠屏手机在国内延续快速增长态 势,尤其是 OPPO 等手机表现亮眼。IDC 数据显示,3 季度当季,国内折叠屏手机出货量达到 196 万台,同比增长 90.4%。


消费电子:PC 市场连续8 个季度同比下滑,触底迹象已经开始显现


疫情爆发之初,居家办公和娱乐需求猛增,较大程度上透支了PC 需求;此后,由于疫情蔓延、宏观经济增长压力加大、部 分国家通胀快速上升以及地缘政治等负面因素影响,PC 行业持续下滑。2023 年第三季度,全球PC 出货量 6430 万台,相 较去年同期的 7060 万台,同比下跌9%,但出货量已经出现了连续两个季度上扬,教育和商务换机需求有回暖迹象。 主要厂商:联想依然位居第一,Q3 出货量下降 4.4%;HP 位居第二,正增长 6.4%;苹果由于基数高,Q3 下降 24%。其余 OEM 和 ODM 厂商如宏碁、华硕从月度收入走势来看,10 月营收转正;广达和研华月度收入降幅也在收窄。


计算电子:通用服务器市场低迷,但 AI 服务器增长强劲


2023 年以来,受到通货膨胀以及投资重点转向 AI 服务器影响,CSP 厂商以及政企客户在压缩和放缓通用服务器的支出; 服务器厂商为适应市场需要,产品推出也在延缓,生产计划缩减。AI 服务器虽然价值量高,但是体量占比不大。 预计 2023 年整体服务器出货量预期下降。Trendforce 数据显示,服务器主板出货量市场预计将下滑 6%-7%;服务器整机 出货情况也不见好转,预计下降 5%-6%。


汽车电子:电动化和智能网联化提速,汽车赛道正成为行业稳定器


据 SIA 数据显示,2022 年汽车在全球半导体终端市场中的占比为 14%,较上年提升 1.6 个百分点。主要动力来自于电动化 和智能化,应用场景包括三电、信息娱乐(座舱)、智能驾驶等领域。半导体各品类在车上应用范围比较宽,模拟、数字和 分立器件均在快速上车。 电动化方面,据中汽协数据显示,2023 年前 10 个月,国内新能源车销售量达到 95.60 万辆,同比增长 33.89%。智能化方 面,智能信息娱乐系统和智能驾驶渗透率都在提升。佐思汽研数据显示,前 8 个月新车智能车载信息服务系统装配率达到 76.8%,上升了 12.3 个百分点。


1.4 细分赛道:逻辑电路恢复势头逐步将确立,存储电路价格开始上行


逻辑电路:代工端最差时点或已过去,触底且恢复势头在确立


代工端的表现是逻辑电路景气的重要表征,整体来看,三季度当季都呈现趋稳的势头。尤其是台积电和格罗方德,在这一轮 周期中反弹的更为明显,同比增速已经转正。联华电子和中芯国际虽然环比都有所改善,但是收入依然处在负增长通道,但 降幅在收窄,处在磨底状态,向下空间已经不大。


主要设计厂商中,高通收入依然处在同比下降通道,但是 9 月财季环比在向好,存货周转天数也开始触顶回落。另外,AMD 9 月财季实现收入 58.00 亿美元,同比增长 4.22%,已经从同比下滑的泥潭中走出来;库存周转天数也开始掉头向下。公司 财报数据显示,公司的下游 PC 赛道(客户端业务)收入恢复较快,公司的锐龙 7000 取得了不错的市场结果,其 Q3 个人 业务成长 42%。


存储电路:供需格局在改善,价格启动回升势头


存储作为半导体行业波动最为明显的赛道,是行业周期波动的主要变量。为了应对需求不振、供给过剩的局面,主要厂商在 压缩资本支出,压缩产能,调整产品结构,整体供需格局正在趋于平衡,产品价格开始触底反弹,行业底部正在夯实。 DRAM:DDR4 8G 产品已经在 8 月 25 日触底,现货平均价跌至 1.45 美元,此后持续反弹,12 月 1 日到达 1.72 美元的相 对高点;NAND:3 季度价格在持续磨底,此后较快恢复,12 月 1 日 256G 和 128G 产品分别达到 11.49 美元和 6.41 美元。


模拟电路:进入下行周期相对较晚,暂无明显改善


模拟电路曾经经历一段较为严重的产品短缺之后,也进入了下降周期,由于其下游比数字电路更为分散,且对工业、汽车、 军工和航空航天等 B 端赛道更为倚重,进入下降周期相对晚一点。 但是,从全球市场来看,工业增长相对较为疲软,汽车电子还处在渗透率提升的早期阶段,尚难以为增长提供支撑。整个模 拟电路无论是从收入端还是从库存端看,出现波动的风险依然较高。


1.5 周期判断:2023 年周期底部确认,2024 年市场规模预计超 2022 年


此轮下行周期行至 2023 年底,行业底部已经确认,消费电子复苏、智能算力建设投入加大,工业、汽车等赛道有望带来新 的增长点,行业将进入实质性复苏。从WSTS、Tech insights、Future Horizons 等机构预测来看,主要机构对今年的市场 规模增速,预计下降 10%左右;2024 年,增速预计在 9%以上,市场规模有望创出历史新高。但整体来看,复苏相对较弱。


二、 CoWoS 及 HBM 火热,先进封装产业链全面受益


2.1 半导体周期底部已筑,封测板块出现上扬


半导体封测环节是监测半导体周期属性的重要关口:封测产业处在半导体产业链的下游,主要作用为对半导体芯片进行封装、 测试与检测,满足下游终端客户的使用要求。封测行业属于资本密集型、人工密集型,直接对接下游终端,因此下游应用变 化和需求变化直接影响封测行业的技术路线和稼动率,二者之间存在强大的互动作用与配合机制。因此,与晶圆端一样,封 测产业也是监测半导体周期的重要指标。 半导体周期底部已筑,封测板块出现上扬:2015 年至今,拟合全球半导体销售同比与 A 股三家封测龙头和中国台湾封测收 入同比可看出:封测销售与全球半导体销售呈现较强的一致性,而仔细可以看出,封测环节较全部半导体营收一般会略微提 前一个季度,因此可作为监测半导体周期属性的提前信号。


2.2 先进封装前景广阔,头部厂商不断发力


先进封装占比持续走高,预计将于 2025 年超过 50%


“后摩尔时代”,随着集成电路工艺制程的越发先进,对技术端和成本端也均提出了巨大挑战,因此半导体头部公司突破以 往从横向工艺角度解决问题的惯性思维,从纵向封装角度突破,先进封装技术应运而生。先进封装技术能在不单纯依靠芯片 制程工艺实现突破的情况下,通过晶圆级封装和系统级封装,提高产品集成度和功能多样化,满足终端应用对芯片轻薄、低 功耗、高性能的需求,同时大幅降低芯片成本。因此,先进封装在高端逻辑芯片、存储器、射频芯片、图像处理芯片、触控 芯片等领域均得到了广泛应用。根据Yole 预测数据,全球先进封装在集成电路封测市场中所占份额将持续增加,预计 2025 年占整个封装市场的比重接近于 50%。


高端封装国际头部厂商领先,引领技术不断升级


半导体封装技术的演进,推动者集成电路的发展,目前传统封装已相当成熟,正经历着 2.5D 封装到 3D 封装的转换。3D 集 成和 2.5D 集成的主要区别在于:2.5D 封装是在中介层 Interposer 上进行布线和打孔,而 3D 封装是直接在芯片上打孔和布 线,连接上下层芯片堆叠,相对来说,3D 封装要求更高,形式也更多样。


细分到先进封装的关键技术节点,区别各家封装厂 3D 封装技术能力的好差标准之一是 I/O Pitch 和 RDL-LS 的精度。根据 Yole 统计,目前,封装 Bump I/O Pitch 大约在50um 左右,3D Stack Pitch 约 10um 左右,预计到 2029 年将突破 5um。


高性能先进封装技术被世界头部封测企业掌控


高性能封装技术主要包括:超高密度扇出封装(ultra-high density fan-out,UHD FO)、2.5D interposer、3D stacked memories、 embedded Si bridge 和 hybrid bonding,其关键技术基本掌握在世界头部封测企业(OSAT)、先进的晶圆代工厂和 IMD 手 中,如长电科技、日月光、安靠、台积电(TSMC)、三星(Samsung)和英特尔(Intel)等。


先进半导体封装的参与者非常多,其解决方案涵盖(超)高密度扇出(有机中介层)、3D 片芯堆叠、2.5D 硅中介层、2.5D 嵌入式硅桥、3D 堆叠存储器等几大类。龙头代工厂及其解决方案当然还是台积电(InFO,集成扇出)、日月光(FOCoS, 芯片后装的基板上扇出芯片)、三星(2.5D RDL(再分布层))、Amkor Technology(S-SWIFT,高密度扇出线),也包括中 国的长电科技(XDFOI)、通富微电(VISionS)和华天科技(3D Matrix)等。


我国已具备半导体先进封装完整产业链


国内封测企业按照技术储备、产品线情况、先进封装收入占比等指标,一般可分为三个梯队:第一梯队企业已实现第三阶段 焊球阵列封装(BGA)、栅格阵列封装(LGA)、芯片级封装(CSP)稳定量产,且具备全部或部分第四阶段封装技术量产能 力(如 SiP、Bumping、FC),同时已在第五阶段晶圆级封装领域进行了技术储备或产业布局(如 TSV、Fan-Out/In),国内 独立封测第一梯队代表企业有长电科技、通富微电、华天科技等。


全球半导体封装产业链主要地区有北美、亚洲和欧洲等,各地区基本已完成从封装设计、工艺、xPU 供应、基板、系统级设 计、封装实现等全过程,可形成闭环效应。中国大陆在封装领域,封装设计以华为、比亚迪半导体为代表,封装代工以长电 科技、通富微电、华天科技为代表,终端用户以阿里、腾讯、百度为代表,已具备完整的封装产业链,因此不仅在技术上还 是在产业链完整度上,均已跻身国际第一梯队。


长电科技 XDFOI 技术


公司 XDFOI 技术为 2.5D 超高密扇出型封装,可将不同的弄能器件整合在系统封装内,对集成度和算力有较高要求的 超算领域,如 FPGA、CPU、GPU、AI 和 5G 网络芯片等方面应用较多,将推动信息技术的高速发展。


通富微电 VISionS 技术


公司 VISionS 为基于超算的 2.5D/3D 先进封装技术,可实现多层布线技术开发,将不同工艺不同功能的 Chiplet 芯片进 行高密度集成,可为客户提供晶圆级和基板级封装解决方案。在 HBM 等存储方向布局,已完成堆叠 NANDFlash 和 LPDDR 封装的量产,其 3D 存储封装技术国内领先。


华天科技 3D Matrix 技术


公司 3D Matrix 技术集成了 TSV、eSiFo(Fan-out)、3D SIP 等三大先进封装技术,是 Chiplet 高度集成的重要技术之 一。TSV、eSiFo、3D SiP 三大基础技术,均为公司特色工艺,其中 Fan-out 技术为硅/基板上刻蚀挖槽,将芯片正放 置凹槽内,在芯片表面与硅/基板表面形成扇出连接,再进行 RDL 布线与封装。


长江存储 Xtacking 技术


与传统并列式架构和 CuA(CMOS under Array)架构不同,公司晶栈 Xtacking 3D NAND 架构可实现的金属通道连接 达数十亿根。芯片堆叠层数增加的同时,能够带来存储容量的扩大,可大大提升芯片性能。


2.3 美国抵制&头部厂商扩产,先进封装首位度凸显


美国 BIS 制裁针对先进封装,重要性不言而喻


10 月 17 日,美国商务部工业和安全局(BIS)公布新的先进计算芯片、半导体制造设备出口管制规则,意在限制中国 发展高端芯片的能力,并将于 11 月 16 日正式生效。11 月 21 日,美国宣布了国家先进封装制造计划 (NAPMP)项目, 投资方向包括:材料和载板,设备、工具和流程,电力传输和热管理,硅光通信和连接器,Chiplet 生态系统,测试、 可靠性、安全性方面的 Chiplet 共同开发。此举将在后道封装端抑制中国大陆发展高端高性能芯片,尤其是先进封装领 域。


台积电、三星、海力士等不断扩产,抢占市场份额


台积电不断增加 CoWoS 产能:当前 AI 芯片异常火爆,将推动 CoWoS 先进封装需求激增。根据台湾经济日报,此前 英伟达已在 10 月加大订单,苹果、AMD、博通、Marvell 等国际头部客户同时大幅追单。台积电为应对上述五大客户 需求,不断加快 CoWoS 先进封装产能扩充,预计明年月产能将比原目标再增加约20%达 3.5 万片。


三星扩大 HBM 产能:当前 DRAM 芯片销量回暖,三星电子为了扩大 HBM 产能,收购三星显示(Samsung Display)天安 厂区内部分建筑及设备用于 HBM 生产。费用上公司已花费 105 亿韩元购买上述建筑和设备等,此外预计追加投资 7000 亿 -1 万亿韩元,可见三星对扩大 HBM 产能的决心。 SK 海力士投资升级 HBM 的 TSV 先进封装技术:据韩国经济日报及BusinessKorea 消息,全球第二大内存芯片厂商SK 海 力士预计AI 将带动行业需求好转,2024 年将预留约 10 万亿韩元(约合 76 亿美元)的 DRAM 设施资本支出,其用途主要 在:一是为高附加值 DRAM 芯片扩建设施,包括 HBM3、DDR5 及 LPDDR5;二是升级 HBM 的 TSV(硅通孔)先进封装 技术。


先进封装技术均对设备和材料提出较高要求


先进封装的关键技术 TSV:TSV(Through-Silicon Via ,硅穿孔)技术通过铜、钨、多晶硅等导电物质的填充,实现硅通 孔的垂直电气互联。TSV 可以替代WB 和 FC 技术,是芯片小型化的必经之路,是目前唯一的垂直电互联技术,是实现 3D 先进封装的关键技术之一。 制备 TSV 技术的设备和材料将受益:TSV 技术制备的核心关键步骤主要为从先把硅通孔形成(即孔刻蚀),然后沉积绝缘层 或阻挡层,接着生成铜晶种沉积,最后进行电镀,因此制备 TSV 技术涉及的半导体封装设备和材料领域包括光刻机、涂胶 显影、刻蚀设备、清洗设备、键合设备、CMP 等。


2.4 先进封装设备与材料端将迎来快速增长期


半导体封装设备市场规模稳步增长。 半导体封装设备市场下游主要为封装测试企业、部分晶圆制造企业和芯片设计企业,其中以封装测试企业为主。根据SEMI 数据,除 2019-2020 年受中美关系摩擦影响出现短期波动,全球半导体封装设备市场规模整体呈稳步增长态势,其中 2022 年市场规模约为 78 亿美元。 半导体封装设备种类众多,其中以贴片机、引线机和划片及检测设备为多 。根据 SEMI 和 VLSI 预测,半导体封装设备份额前三的为贴片机、引线机和划片及检测设备,分别为 30%、23%和 28%。


半导体封装设备国产化率整体偏低,国产化潜力巨大


随着我国集成电路产业规模的不断扩大以及全球产能向我国大陆地区转移的加快,集成电路各细分行业对测试设备的需求还 将不断增长,国内集成电路测试设备市场需求上升空间较大。主流的半导体封装设备主要有探针台、分选机、测试机、划片 机、贴片机、引线键合机等,2021 年划片机、贴片机和引线键合机的国产化率不足5%,具有广阔的国产替代空间。 根据 MIR DATABANK 数据表明,2021 年中国大陆各类封装测试设备的市场规模均有高速增长,探针台、引线键合、贴片 机设备甚至接近翻倍增长,增速都在 80%以上。


国内封装厂募投项目


以长电科技、通富微电和华天科技为首的封测厂商近年来不断扩建集成电路封测项目,尤其是先进封装领域,将拉动半导体 封装设备和材料需求。


半导体封装各细分领域设备由海外大厂主导,国内企业正发力


封装设备分别有固晶机、键合机、曝光机、点胶机、划片机、测试机、分选机、探针台等,国际厂商如DISCO 在划片机、 减薄机等领域占据大部分份额,K&S 在贴片机、键合机等领域占据主导地位。随着国内封测代工三强进入全球前十,推动 国内半导体封装设备的发展,如光力科技,在划片机领域处于国内龙头地位。随着先进封装占比逐渐走高,国内半导体封装 设备将不断受益。


半导体封装材料种类繁多,中高端正突破


半导体封装材料主要有ABF载板、环氧树脂、电子化学品、掩膜版、封装胶带等,当前 AI 需求爆发,高性能运算是封装材 料发展的主驱动力。从竞争格局来看,半导体封装材料领域,美国和日本基本主导着整个材料市场,如味之素、杜邦、JSR、 住友化学等。国内厂商起步较晚,需要长期的技术积累和产业协作开发,目前正向中高端迈进。


三、 设计端:AI+顺周期双轮驱动,存储或将是最大赢家


3.1 芯片设计:AI 融入手机、PC 等端侧产品,有望刺激消费电子复苏


手机、PC 市场是半导体的重要应用赛道,2024 年有望伴随着经济复苏、全球通胀趋于稳定以及换机周期的到来,配合上厂 商的密集的新机发布及积极的营销策略,行业有望进入复苏通道,传统 PC、智能手机都有望向好。AI 技术融入手机、PC 等终端,将大模型引入相关平台,有希望为此前波澜不惊的消费电子行业导入新的生态圈。一方面,人工智能的引入有可能 刺激一轮新的消费需求;另一方面,大模型带来的新的算力消耗,也将牵引出更多的处理器等硬件升级。


通用服务器 2024 年有望进入复苏通道,AI 服务器将实现高增长。服务器需求量同经济走势密切相关,预计 2024 年行业将 实现小幅度增长,Trendforce 预计行业在中性条件下有希望实现 2-3%的增长,乐观条件有希望增长 6%-7%。 AI 服务器将进入快速增长周期。据 Trendforce 数据显示,预计 2024 年AI 服务器将延续较快增长势头,增速将达到 38%左 右。除了训练用的先进 GPU 产品之外,后续随着应用的扩大,边缘 AI 应用到的中低端加速卡增速也将加快。


各赛道均将好转,存储是最主要的关注点。分品类看:据WSTS 的预测数据,2024 年,市场最为关注的集成电路板块均在 向好,存储、逻辑、模拟和微处理器均将实现不同程度的正增长,模拟复苏可能最弱,存储是最大的变量。 分国别来看:WSTS 预测数据显示,2024 年,美洲、欧洲和日本有希望超过疫情前的水平,美洲恢复的最为迅速,消费电 子、算力和汽车电子均将受益;亚洲地区则主要集中在消费电子,2024 年尚难以恢复到疫情之前的水平;欧洲、日本主要 面向于工业和汽车,相对更为平稳,此前 2023 年的回调也相对有限。


3.2 存储赛道:HBM 和 DDR5 应用将提升,国内 Nand 模组厂商机会凸显


HBM 成为 AI 内存首选,HBM3E 产品有望 24 年推出


提升 GPU、CPU 与内存间沟通速度,提升其带宽,利用 Chiplet 技术将 CPU、GPU 和 DRAM 颗粒一体化封装。2023 年 HBM(高带宽内存)市场的主导产品是 HBM2e,由 NVIDIA A100/A800、AMD MI200 和大多数 CSP(云服务提供商)采 用自行开发的加速器芯片。随着AI 加速器芯片需求的发展,制造商计划在 2024 年推出新的 HBM3e 产品,HBM3 和 HBM3e 预计将在明年成为市场主流。


加快高速高效的 DDR5 推广,渗透率快速提升


DDR5 相对 DDR4:高带宽、低功耗,能效好,低延迟,适用于 AI 计算,逐步替代 DDR4。从本质上提升计算能力。AMD、 英特尔在 DDR5 的应用推动过程中,比较积极,目前最新产品都开始支持 DDR5。根据 Trendforce8 月份的数据显示,预估 2023 年全年在 CSP 与 OEM 的渗透率约 13.4%,2024 年第三季度末 DDR5 渗透率有望超过 DDR4。


接口芯片提升 DRAM 的数据传输能力,更快的操作速度


通过 DRAM 接口芯片能力的提升,提升 CPU 和 DRAM 之间的传输速度。目前,数据接口芯片正在从 RCD 向 MRCD 升级, 数据传输能力大幅度提升。国内厂商澜起科技等领域在该赛道较为领先,相关产品已经在和英特尔合作测试中。


NAND Flash 模组厂将进入较快恢复通道


从产业链布局来看,国内在存储晶圆方面刚刚起步,我国主要厂商承担的是模组设计、生产制造的环节。企业根据市场需求 确定产品方案,紧接着开发存储芯片固件并匹配存储晶圆,然后根据要求选定主控芯片等主辅料,并通过外协的专业封测企 业或者利用自有的封测产能,完成封装测试,最终形成存储产品对外销售。原厂供给端的压缩带来存储产品价格上涨,国内 厂商累积的一些低价库存有望释放,对改善公司的毛利率是有较大益处。


3.3 光电子器件:CIS 需求将趋于健康,激光发射芯片将受益于 AI 算力建设


CIS 正在受益于市场回温,汽车、安防和手机均表现出增长潜力


CIS(COMS 图像传感器)是摄像头模组的核心部件,广泛应用于手机、消费、计算机、安防、汽车、工业等领域,其中, 手机是 CIS 的最大终端用户市场。 进入 2023 年下半年后,国内 CIS 市场开始全面回温。在国内手机品牌拉货提速带动下,需求向好,让 CIS 零部件库存持续 较快去化。5000 万像素的新品、汽车 CIS 和安防等领域的,是各家发力的主要方向。从趋势上看,未来几年手机依然是行 业最大的市场,汽车和安防增长较为快速。


受益于 AIGC 带来的数据交换提速,高速激光发射芯片潜力凸显


光芯片是实现光电信号转换的基础元件,其性能直接决定了光通信系统的传输效率。在光纤接入、4G/5G 移动通信网络和 数据中心等网络系统中,光芯片都是决定信息传输速度和网络可靠性的关键。 由于 AIGC 的加入,数据中心东西向数据(数据中心内部)数据流量大幅增长,将拉动光模块需求的快速增加,400G、800G 将成为趋势,但可插拔产品功耗问题开始凸显,CPO 作为新的封装模式,受到市场关注。


四、 材料端:国产化加码,中高端领域正当时


4.1 行业现状:国产化率低,关键半导体材料国产化进程加快


根据 SEMI 的最新统计数据,2022 年全球半导体材料市场整体规模增长 8.9%,触达 727 亿美元,创下新高。其中,晶圆材 料市场增长 10.5%,达到 447 亿美元;封装材料市场增长 6.3%,达到 280 亿美元。分区域来看,2022 年,中国大陆半导 体材料市场规模约 129.7 亿美元,已经第三年成为全球第二大市场,占比 18%,仅次于中国台湾地区。


半导体材料广泛应用于集成电路的制造和封测环节,主要分为前道晶圆制造材料和后道封装材料两类,以晶圆制造材料为主。 前道晶圆制造材料包括硅片、光刻胶、掩膜版、溅射靶材、电子特气、湿电子化学品、CMP 抛光材料、超净高纯试剂等, 其中硅片占比最大;后道封装材料包括键合线、封装基板、引线框架、陶瓷封装体、包封材料、芯片粘结材料等,其中封装 基板占比最大。


行业特点:品类繁杂,技术壁垒高,研发周期长。半导体制造过程繁琐且复杂,涉及诸多材料,行业细分市场众多,具有技 术壁垒高、研发能力要求高、资金投入门槛高等特点。产品不仅需要经历长时间、高难度的研发阶段,研发过程中还需要大 量的研发投入,甚至部分关键材料直接决定了芯片性能和工艺发展方向。因此产品在上线使用前需要长周期的测试论证工作, 并且上线使用后也需通过较长周期逐步上量。


半导体材料作为耗材,短期内对下游晶圆厂库存、稼动率等因素影响较大。2023 年三季度,中芯国际、华虹半导体等IDM 大厂产能利用率环比继续下滑,未来待晶圆厂稼动率回升,半导体材料用量有望随之恢复。 从中长期来看,由于技术壁垒高、国内起步较晚,目前全球半导体材料供应链依然由欧美日等海外企业占据绝对主导地位, 而国内半导体材料整体国产化率较低。根据安集科技援引自波士顿咨询公司的《美国国家半导体经济路线图》,中国大陆在 化学机械抛光液、湿电子化学品等半导体材料领域份额较低。随着国内晶圆制造产能的高速扩张,加之国内供应商技术的突 破和成熟、本土化的供应优势等,国内高端半导体材料存在较大的国产替代空间,关键半导体材料国产化进程将加快。


4.2 前道晶圆制造材料:光刻胶、CMP 材料、功能性湿电子化学品是看点


光刻胶:“皇冠上的明珠”,国内厂商在发力突破中高端领域


据 Techcet 预测,2024 年全球半导体光刻胶市场规模将达到 25.7 亿美元,2022~2027 年的 CAGR 将达到 4.1%。据《2023 势银光刻胶产业发展蓝皮书》数据,中国大陆半导体光刻胶市场规模2016~2022 年 CAGR 为 18.86%,预计 2023 年半导 体光刻胶市场规模 42.02 亿元。其中占比最高的是 ArF 光刻胶,占比 46.5%,其次是 KrF 光刻胶、i 线、g 线和紫外负胶。 随着中国大陆 12 寸晶圆产线陆续开出,KrF 和 ArF 光刻胶使用率预计将进一步上升。 从细分品类来看,国内厂商主要以低端领域产品为主,毛利率相对较低,而中高端领域的本土 DUV 光刻胶市场处于被日本 巨头垄断的现状,尤其是国内尚无一家企业有 EUV 光刻胶问世。但当前,国内半导体光刻胶市场正逐步实现国产化,厂商 正努力在KrF 和 ArF 等中高端光刻胶研发和量产上实现突破。例如,彤程新材KrF 光刻胶量产品种达 20 种以上,且近期公 告称全资子公司上海彤程电子上海化学工业区工厂已逐步进入试生产阶段,其中半导体光刻胶设计能力年产 1000 吨,主要 包括年产 300/400 吨 ArF 及 KrF 光刻胶量产产线。


CMP 环节需要应用到多种材料,包括抛光液、抛光垫、CMP 后清洗液、钻石碟。根据 Techcet 的数据,2022 年 CMP 耗材 市场增长 9%达到近 35 亿美元,预测 2023 年将下降约 2.4%,预计 2022~2027 年的 CAGR 将达到 5.2%。


根据安集科技可转债募集说明书援引自 techcet 的数据,全球抛光液市场长期以来被美日垄断,包括美国的 CMC Materials (现 Entegris)、Versum Materials(现Merck)、DuPont 和日本的 Fujifilm、Hitachi(现 Resonac)等。抛光液细分种类繁 多,竞争格局相对分散,CMC Materials 全球抛光液市场占有率最高。随着制程的演进,抛光液的种类不断丰富,下游客户 的需求也逐渐多样化,地区本土化自给率提升。而全球抛光垫市场更集中,主要由美国的陶氏杜邦寡头垄断。 根据 Techcet 公开的全球半导体抛光液市场规模测算,2020~2022 年安集科技抛光液全球市场占有率分别约 3%、5%、7%, 逐年稳步提升,产品已涵盖铜及铜阻挡层、介电材料、钨、基于氧化铈磨料的抛光液等多个平台。鼎龙股份则率先打破抛光 垫垄断,同时抛光液、清洗液也已开始起量销售。


功能性湿电子化学品:美日欧领先,国内企业已在特定品类开始量产供应


湿电子化学品是集成电路制造过程中不可缺少的关键性基础化工材料之一,要求超净、高纯,按照组成成分和应用工艺不同, 主要分为通用性湿化学品和功能性湿化学品。通用湿化学品以酸类、碱类、有机溶剂类及其他类高纯化学品溶液为主,例如 过氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸等。功能湿化学品是指通过配方改良的复配手段达到特殊功能、满足制造中特 殊工艺需求的配方类或复配类化学品,主要包括各类刻蚀液、清洗液及光刻胶配套试剂(剥离液、稀释剂、显影液)、电镀 液及其添加剂等。


根据中国电子材料行业协会的数据,2021 年中国集成电路封装(含传统封装与先进封装)用湿化学品市场规模 13.8 亿元, 而 2021 年中国集成电路晶圆制造(即前道工艺)用湿化学品市场规模 38.3 亿元。2025 年我国集成电路领域用的湿电子化 学品市场规模将达到近 70 亿元,需求量将超过百万吨。


功能性湿电子化学品在半导体制造领域的应用主要涉及光刻、刻蚀、离子注入、CMP、金属化、电镀等工艺。湿电子化学 品的纯度和洁净度对集成电路的成品率、电性能及可靠性都有着十分重要的影响,随着集成电路技术工艺复杂性和技术挑战 不断增加,对湿电子化学品的杂质含量、颗粒数量、清洗去除能力、刻蚀选择性、工艺均匀性、批次稳定性与一致性等的管 控要求越来越高。此外,由于新结构、新器件和新材料的不断引入,主流芯片制造厂商间的差异性也越来越大,满足客户的 定制化需求也成为功能性湿电子化学品未来发展的重要趋势。 在功能湿电子化学品方面,欧美、日韩企业依靠先发优势、产品品类丰富、技术优势相对领先,美国陶氏杜邦、Entegris、 德国巴斯夫、Merck、日本东京应化等外国公司在特定品种上具有市场份额优势。由于技术门槛高,国内企业与国际先进相 比差距较大,但部分企业在特定品类已经开始具备量产供应能力,主要包括安集科技、上海新阳、飞凯材料等。安集科技功 能性湿电子化学品主要包括刻蚀后清洗液、晶圆级封装用光刻胶剥离液、抛光后清洗液、刻蚀液等产品,同时也完成了电镀 液及添加剂产品系列平台的搭建。


4.3 后道封装材料:封装基板占比最大,环氧塑封料关注度高


先进封装带动 ABF载板市场增长,头部内资企业布局


IC 封装基板(又称 IC 载板)是先进封装采用的一种关键专用基础材料,在芯片和常规 PCB 之间起到电气导通及支撑、保 护、散热功能。封装基板占封装材料市场的一半以上,是封装材料市场增长的主要驱动力之一。根据基材的不同,IC 载板 可以分为 BT 载板和 ABF 载板,相较于 BT 载板,ABF 材质可做线路更精密、高脚数高传输的 IC,具有较高的运算性能, 主要用于 CPU、GPU、FPGA、ASIC 等高运算性能芯片。 根据 Prismark 的数据,2022 年全球封装基板市场规模约 174 亿美元,2027 年有望达到 223 亿美元,CAGR 约 5.1%。全 球封装基板市场主要由日本、韩国、中国台湾地区主导,根据 Prismark 的数据,2020 年全球十大封装基板企业占据了超过 80%的市场份额,其中欣兴集团、揖斐电和三星电机位居前三。根据集微咨询数据统计,2020 年中国大陆 IC 载板产值约 14.8 亿美元,全球占比 14.5%,来自内资企业封装基板产值约 5.4 亿美元,全球占比仅为 5.3%。


在 5G、AI、IOT、高性能计算等需求的驱动下,以FC-BGA 为代表的先进封装技术的发展推动了ABF 载板的需求量,据 QYResearch 报告,预计 2029 年全球ABF载板(FCBGA)市场规模将达到 93.3 亿美元,2022-2029 年的 CAGR 为 6.9%。 按应用细分,PC 是最大的下游市场。 全球范围内 ABF 载板(FCBGA)生产商主要包括欣兴电子、揖斐电、南亚电路等。据 QYResearch 报告,2022 年全球前 五大厂商占有大约 75%的市场份额。中国大陆厂商的份额较小,且仍以BT载板为主,但兴森科技、深南电路、珠海越亚等头部公司近两年已经开始布局 FC-BGA。根据公司公告,其中兴森科技广州 FCBGA 封装基板项目拟分期建设 2000 万颗/ 月(2 万平方米/月)的产线,一期厂房目前处于设备安装、调试阶段,预计今年第四季度开始试产。


环氧塑封料是主要的包封材料,内资企业在突破中高端领域


根据中科院上海微系统研究所的报告,90%以上的集成电路均采用环氧塑封料作为包封材料,环氧塑封料(简称 EMC)全 称为环氧树脂模塑料,是用于半导体封装的一种热固性化学材料,是由环氧树脂为基体树脂,以高性能酚醛树脂为固化剂, 加入硅微粉等填料以及添加多种助剂加工而成,主要功能为保护半导体芯片不受外界环境的影响,并实现导热、绝缘、耐湿、 耐压、支撑等复合功能。


随着半导体芯片进一步朝向高集成度与多功能化的方向发展,各种封装技术不断演变,下游客户性能需求日益复杂,因而应 用于历代封装形式的各类产品在理化性能、工艺性能以及应用性能等方面均存在差异,塑封料厂商需根据下游客户定制化的 需求针对性地开发与优化配方与生产工艺,从而灵活、有效地应对历代封装技术。


鉴于环氧塑封料的关键性,芯片设计公司会与封装厂商会选用具有较长供应历史、优良市场口碑、相关产品已经过市场验证 的供应商,进入门槛较高,国内市场的竞争格局集中,呈现出头部化效应。其中,内资厂商市场份额主要由华海诚科、衡所 华威、长春塑封料、北京科化、长兴电子所占据。 根据智研咨询数据显示,2022 年中国半导体用环氧塑封料行业市场规模约为84.94 亿元,主要集中在华东地区。根据华海 诚科招股书援引自集成电路材料产业技术创新联盟的《2021 年专用封装材料产业数据统计报告》,我国环氧模塑料在 TO、 DIP 等中低端封装产品已实现规模量产,由内资厂商主导;在 QFP、QFN、模组类封装领域已实现小批量供货,以华海诚科 为代表的国内公司产品质量已与外资厂商相当;应用于 FC-CSP、FOWLP、WLCSP、FOPLP 等先进封装的产品成熟度较 低,外资厂商处于市场垄断地位。华海诚科 BGA、SiP 及 FOWLP/FOPLP 等封装形式的产品尚未实现产业化,但已成功研 发了液态塑封材料(LMC)、颗粒状环氧塑封料(GMC)、FC 底填胶等产品,有望逐步打破外资厂商在先进封装用高端材料领 域的垄断地位。


4.4 碳化硅:上车速度超预期,国内全产业链在崛起


SiC 是第三代宽禁带化合物半导体材料的代表之一,因其具有禁带宽度大、热导率高、电子饱和迁移速率高、临界击穿电场 高、抗辐射能力强等特性,特别适用于高压、高频、高温、大功率等工作环境。与硅基功率器件相比,SiC 功率器件具备高 频、高压、耐高温、开关损耗小、导通电阻低等显著优势,可提高功率密度和效率同时有效降低能耗,减小体积,能够应用 于新能源汽车、新能源发电、轨道交通、智能电网等各个领域。


新能源汽车是 SiC 最重要的应用领域,也是最大的驱动市场,主要用于驱动和控制电机的逆变器、DC/DC 转换、车载充电 器 OBC 和快速充电桩。SiC MOSFET 与硅基 IGBT相比,其产品尺寸、重量、能耗大幅减小,可以有效提升新能源汽车电 池的电能转化效率,从而提高续航能力,同时还可以优化电机控制器的结构,节省成本,实现小型化、轻量化。根据Yole 的报告,电动车中 SiC 价值量的 90%都在逆变器中,电动车用碳化硅的市场规模将从 2022 年的 10.55 亿美元攀升至 2027 年的 49.86 亿美元,年复合增速达 36.4%。此外,直流快充市场中碳化硅 MOSFET 的市场规模也在高速增长。


2023 年,鉴于电动车继续渗透叠加电动车中碳化硅接纳度的双提升,SiC 在汽车领域的应用速度超出预期。应用端看,800V 高压快充车型纷纷发布,由此带动了对于标配的 SiC 模块的需求量。自 2018 年特斯拉率先在高端车型 Model 3 中搭载了采 用 24 个 650V、100A 全SiC MOSFET 模块的主逆变器之后,博世等多家 Tier1 制造商以及比亚迪、蔚来、小鹏等车企都宣 布在部分中高端产品中采用 SiC MOSFET 方案。值得注意的是,目前启用 SiC 方案的车型价格带在往下拓展,今年已有越 来越多的 20~25 万新车型标配或选配了 800V 高压平台,如小鹏 G6 搭载的就是斯达半导封装的 SiC MOSFET 模块。


碳化硅产业链主要包括衬底材料的制备、外延层的生长、器件设计、晶圆制造以及模组封装等。其中SiC 衬底制备难度高, 技术和资金壁垒高,根据晶升股份的招股书披露,良率仅约为 30%~50%左右,导致材料成本较高。主要工序涉及原料合成、晶体生长、晶锭加工、晶棒切割、切割片研磨、研磨片抛光、抛光片清洗等环节。根据 PGC 咨询的数据分析,2021 年的 1200V/100A SiC MOSFET 芯片成本模型,衬底成本占比最大,超过 30%。 目前 SiC 市场高度集中,只有少数端到端领导者。事实上,SiC 晶圆和器件市场的前两家公司控制着一半以上的SiC 市场份 额。根据 Yole 数据,2022 年全球前5 家企业碳化硅器件营收均超过 1 亿美元,意法半导体仍居首位(37%),其次英飞凌 (19%)和 Wolfspeed(16%)分列二、三。而安森美则受益于衬底、外延、芯片到单管和模块封装测试完全垂直整合以 14%的份额超过罗姆(11%左右),晋级第四。晶圆方面,2022 年,国外的Wolfspeed 仍一家独大(53%),Coherent 位居 第二。


国内外龙头企业纷纷在碳化硅领域加速布局。当前碳化硅供需紧张,衬底成本降低、良率提升是行业发展的关键,产能产量 的提升速度不及下游市场需求的强劲增速,鉴于此,以Wolfspeed、英飞凌、安森美等为代表的龙头企业纷纷加大资本开支, 进行产能扩张或技术迭代升级,国内天岳先进、三安光电均在大举投资 SiC 衬底材料,加速扩充产能,功率器件厂商斯达半 导、时代电气等也在投建 SiC 芯片产线,产业链上游如碳化硅单晶炉等设备等厂商受益于扩产,业绩也得以快速增长。以天 岳为代表的国产衬底出海英飞凌不仅说明了头部企业的产能已经得到较大程度的提升,也证明国产衬底的品质、可靠性和一 致性已获得了国际大厂的认可,国内产业链正在崛起。


出于地缘政治和供应保障的考虑,越来越多的中国整车厂地寻求本地供应源。根据Mckinsey 数据,鉴于充足的产能和技术 性能,预计中国 OEM 厂商转向本地供应商采购的比例将从目前的约 15%增加到 2030 年的 60%左右。这种转变预计将得益 于整个碳化硅价值链中(从设备供应到晶圆和器件制造再到系统集成)中国企业的崛起,中国设备供应商已经覆盖了所有主 要的 SiC 制造步骤,并宣布投资以在2027 年之前提高产能。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

半导体行业2024年年度策略报告:创新加持,“芯”动提速.pdf

锡行业研究报告:半导体上游核心材料,供给趋紧+需求复苏下价格中枢有望持续提升.pdf

京仪装备研究报告:国内半导体专用温控废气处理设备专精特新“小巨人”.pdf

半导体设备行业专题报告:键合设备,推动先进封装发展的关键力量.pdf

半导体封装设备行业深度报告: 后摩尔时代封装技术快速发展,封装设备迎国产化机遇.pdf

半导体行业投资策略:AI有望推动新一轮半导体周期上行.pdf

【平安证券】半导体行业2024年年度策略报告:创新加持,“芯”动提速.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00