FPGA:“万能”芯片点燃成长新动力,国产替代未来可期.pdf

2023-08-14
1页
1MB

1. FPGA:芯片设计的“万能”积木


1.1. 如何理解 FPGA 的硬件结构?


FPGA(Field Programmable Gate Array)又称现场可编程门阵列,是在 硅片上预先设计实现的具有可编程特性的集成电路,用户在使用过程中可以通过 软件重新配置芯片内部的资源实现不同功能。通俗意义上讲,FPGA 芯片类似于 集成电路中的积木,用户可根据各自的需求和想法,将其拼搭成不同的功能、特 性的电路结构,以满足不同场景的应用需求。鉴于上述特性,FPGA 芯片又被称 作“万能”芯片。


据安路科技招股书,FPGA 芯片由可编程的逻辑单元(Logic Cell,LC)、 输入输出单元(Input Output Block,IO)和开关连线阵列(Switch Box,SB) 三个部分构成: (1)逻辑单元:通过数据查找表(Look-up Table,LUT)中存放的二进制 数据来实现不同的电路功能。LUT 的本质是一种静态随机存取存储器(Static Random Access Memory,SRAM),其大小是由输入端的信号数量决定的,常用 的查找表电路是四输入查找表(4-input LUT,LUT4)、五输入查找表(5-input LUT, LUT5)和六输入查找表(6-input LUT,LUT6)。查找表输入端越多,可以实现的 逻辑电路越复杂,因此逻辑容量越大,但是查找表的面积和输入端数量成指数关 系,输入端数量增加一个,查找表使用的 SRAM 存储电路面积增加约一倍。不同 的逻辑单元结构可以使用不同大小的查找表,或者是不同查找表类型的组合。此 外,逻辑单元内部还包含选择器、进位链和触发器等其他组件。为了提高芯片架 构效率,若干逻辑单元可以进一步组成逻辑块(Logic Block),逻辑块内部提供 快速局部资源,从而形成层次化芯片架构。 (2)输入输出单元:是芯片与外界电路的接口部分,用于实现不同条件下对 输入/输出信号的驱动与匹配要求。(3)开关阵列:能够通过内部 MOS 管的开关控制信号连线的走向。


FPGA 从 Xilinx 公司 1985 年推出世界首款 FPGA 芯片“XC2064”经历过 数十年发展,在硬件架构上大致经历了四个阶段:从 PROM 阶段(简单的数字逻 辑)到 PAL/GAL 阶段(“与”&“或”阵列)再到 CPLD/FPGA 阶段(超大规模 电路),到如今 FPGA 与 ASIC 技术融合、向系统级发展的 SoC FPGA/eFPGA 阶 段。硬件水平整体趋向更大规模、更高灵活性、更优性能。


1.2. FPGA 特点:灵活性高,适用于并行计算


FPGA 芯片属于逻辑芯片大类。逻辑芯片按功能可分为四大类芯片:通用处 理器芯片(包含中央处理芯片 CPU、图形处理芯片 GPU,数字信号处理芯片 DSP 等)、存储器芯片(Memory)、专用集成电路芯片(ASIC)和现场可编程逻辑阵 列芯片(FPGA)。


据复旦微招股书,FPGA 兼具灵活性和并行性两大特点。(1)灵活性:FPGA 芯片拥有更高的灵活性和更丰富的选择性,通过对 FPGA 编程,用户可随时改变 芯片内部的连接结构,实现任何逻辑功能。尤其是在技术标准尚未成熟或发展更 迭速度快的行业领域,FPGA 能有效帮助企业降低投资风险及沉没成本,是一种 兼具功能性和经济效益的选择。


(2)并行性:CPU、GPU 在执行任务时,执行单元需按顺序通过取指、译 码、执行、访存以及写回等一系列流程完成数据处理,且多方共享内存导致部分 任务需经访问仲裁,从而产生任务延时。而 FPGA 每个逻辑单元与周围逻辑单元 的连接构造在重编程(烧写)时就已经确定,寄存器和片上内存属于各自的控制 逻辑,无需通过指令译码、共享内存来通信,各硬件逻辑可同时并行工作,大幅 提升数据处理效率。尤其是在执行重复率较高的大数据量处理任务时,FPGA 相 比 CPU 等优势明显。


据润和软件,相较于其他逻辑芯片而言,FPGA 在灵活性、性能、功耗、成 本之间具有较好的平衡: (1)相较于 GPU,FPGA 在功耗和灵活性等方面具备优势。一方面,由于 GPU 采用大量的处理单元并且大量访问片外存储 SDRAM,其计算峰值更高,同 时功耗也较高,FPGA 的平均功耗(10W)远低于 GPU 的平均功耗(200W), 可有效改善散热问题;另一方面,GPU 在设计完成后无法改动硬件资源,而 FPGA 根据特定应用对硬件进行编程,更具灵活性。机器学习使用多条指令平行处理单 一数据,FPGA 的定制化能力更能满足精确度较低、分散、非常规深度神经网络 计算需求。 (2)相较于 ASIC 芯片,FPGA 在项目初期具备短周期、高性价比的优势。 ASIC 需从标准单元进行设计,当芯片的功能及性能需求发生变化时或者工艺进步 时,ASIC 需重新投片,由此带来较高的沉没成本以及较长的开发周期;而 FPGA 具有编程、除错、再编程和重复操作等优点,可实现芯片功能重新配置,因此早 期 FPGA 常作为定制化 ASIC 领域的半定制电路出现,被业内认为是构建原型和 开发设计的较快推进的路径之一。


1.3. 前沿技术:关注 HBM 高带宽存储与 NoC 片上网络互连


1.3.1. HBM:兼顾高容量和大带宽,是弥合内存层次结构中关键缺口的存储类型


FPGA逻辑结构中的内存大致分为三个层次(以Intel Agilex-M FPGA为例), 包括超本地化片上内存、以 HBM2e 堆栈形式提供的本地封装内存,以及 DDR5 和 LPDDR5 等外部内存架构和接口。片上内存(MLAB 模块和 M20K 模块):最本地化的内存; 封装内存(HBM):弥合内存层次结构中关键缺口的内存,其容量远大 于片上内存(两个数量级以上),同时带宽又远大于片外内存(两个数量 级以上); 片外内存(DDR5、LPDDR5 等):对于超出 HBM2e 容量的应用,或对 独立内存的灵活性有要求时,需要 DDR5 和 LPDDR5 以及其他主流的内 存架构。


HBM2e 与 FPGA 裸片集成在同一封装中可以在小尺寸外形规格中实现更高 带宽、更低功耗、更低时延。(1)内存容量方面:每个 HBM2e 堆栈可包含 4 层 或 8 层,每层提供 2GB 内存,因此单个 Intel Agilex-M 系列 FPGA 可包含 16GB 或 32 GB 的高带宽内存;(2)带宽方面:HBM2e 可实现每堆栈高达 410Gbps 的 内存带宽,较 DDR5 组件的带宽提升高达 18 倍,较 GDDR6 组件提升 7 倍。两 个 HBM2e 堆栈加起来可提供高达 820Gbps 的峰值内存带宽;(3)功耗和时延方 面:由于 HBM2e 集成在封装中,因此也不需要使用外部 I/O 引脚,从而节省了电 路板空间,并消除了它们会带来的功耗和互连时延。


1.3.2. 互连:片上资源互连复杂性催生NoC 架构应用


片上网络(NoC,Network on Chip)是指在单芯片上集成大量的计算资源 以及连接这些资源的片上通信网络,用于在可编程逻辑(PL)、处理器系统(PS) 和其它硬核块中的 IP 端点之间共享数据。 与之对应的概念——片上系统(SoC)则是包含一整套多样化和互连单元的 单芯片,旨在解决一定范围的任务。传统上,SoC 包括几个计算内核、内存控制 器、I/O 子系统以及它们之间的连接与切换方式(总线、交叉开关、NoC 元件)。


片上网络 NoC 包括计算和通信两个子系统。计算子系统(由 PE,Processing Element 构成的子系统)完成广义的“计算”任务,PE 既可以是现有意义上的 CPU、SoC,也可以是各种专用功能的 IP 核或存储器阵列、可重构硬件等。通信 子系统(由 Switch 组成的子系统)负责连接 PE,实现计算资源之间的高速通信。 通信节点及其间的互连线所构成的网络即为片上通信网络。


类比城市高速公路网络,NoC 架构简化互连路径,提高 FPGA 传输速率。 Achronix 基于台积电(TSMC)的 7nm FinFET 工艺的 Speedster7t FPGA 器件 包含了 2D NoC 架构,为 FPGA 外部高速接口和内部可编程逻辑的数据传输提供 了超高带宽(~27Tbps)。NoC 使用一系列高速的行和列网络通路(水平和垂直 方式)在整个 FPGA 内部分发数据,每一行或每一列都有两个 256 位的、单向的、 行业标准的 AXI 通道,可以在每个方向上以 512Gbps(256bit x 2GHz)的传输 速率运行。


NoC 为 FPGA 设计提供了几项重要优势,包括:(1)提高设计的性能;(2) 减少逻辑资源闲置,在高资源占用设计中降低布局布线拥塞的风险;(3)减小功 耗;(4)简化逻辑设计,由 NoC 去替代传统的逻辑去做高速接口和总线管理;(5) 实现真正的模块化设计。


Intel(Altera)利用 NoC 架构实现内存和可编程逻辑结构之间的现高带宽数 据传输。如下图所示,每个片上 HBM2e 堆栈通过 UIB 与其 NoC 通信。片外内存 (DDR4、DDR5 等)则通过 IO96 子系统与 NoC 通信。NoC 通过一个由交换机 (路由器)、互连链路(导线)、发起程序(I)和目标(T)组成的网络,将数据 从数据源传输到目的地。每个 NoC 都提供一个横向网络,通过 AXI4 发起程序将 可编程逻辑结构中的逻辑连接到集成 NoC 的目标内存。此外,每个 NoC 也都提 供一个纵向网络,通过优化的路由将横向网络路径读取的内存数据分发到 FPGA 的可编程逻辑结构深处(可编程逻辑结构和/或 M20K 模块)。


AMD(Xilinx)在 AI 引擎和可编程逻辑之间部署 NoC 架构,可大幅降低功 耗。AMD Versal 产品最突出的优势之一,是能够在自适应引擎中将 AI 引擎阵列 与可编程逻辑(PL)结合使用,由 AI 引擎阵列接口连接 AI 引擎阵列和可编程逻 辑。这样的资源结合为在最佳资源、AI 引擎、自适应引擎或标量引擎中实现功能 提供了极大的灵活性。该方案与传统可编程逻辑 DSP 和 ML 实现方案相比,可将 芯片面积计算密度提高达 8 倍,从而在额定值情况下,可将功耗降低 40%。


2. 市场空间超行业预期,FPGA 迎来爆发时刻


2.1. 驱动力:数据中心蓬勃兴起,FPGA 行业成长再添新动力


FPGA 芯片具有灵活性高、应用开发成本低、上市时间短等优势使其应用场 景覆盖了包括工业控制、网络通信、消费电子、数据中心、汽车电子、人工智能 等广泛的下游市场。 各大应用领域占比整体保持稳定,数据中心更具增长动力。根据 Xilinx 财报, 2019-2021 年下游应用占比格局几乎未发生大规模变动,其中数据中心营收占比 分别为 7%、9%、10%,相较于其他领域而言具备更快的增长速度。


2022 年,国际龙头厂商 AMD 和 Intel 的 FPGA 业务在数据中心领域大放异 彩,成为其业绩增长的有力助推。AMD 对 FPGA 龙头 Xilinx 的收购大大推动其嵌 入式部门和数据中心的业绩增长,两部门产品营收从 2021 年的 39 亿美元增长至 2022 年的 106 亿美元;Intel FPGA 业务助推 DCAI 部门(Data Center and AI Group,数据中心和人工智能事业部)实现 14%的营收增长。 FPGA 在数据中心领域未来有望占据更多市场份额。英特尔中国研究院院长 宋继强曾表示,2020 年 CPU+FPGA 异构计算将占据云数据中心市场的 1/3。据 Semico 研究公司预测,全球数据中心加速器(包括 CPU、GPU、FPGA 和 ASIC) 市场规模将从 2018 年的 28.4 亿美元增长到 2023 年的 211.9 亿美元,年复合增 长率高达 49%。其中 FPGA 加速器在 2018 年只有 10 亿美元,到 2023 年将超过 50 亿美元,其增长驱动力主要来自企业级数据负载加速应用。


#1:数据中心为什么要部署 FPGA?


FPGA 芯片在数据中心领域主要用于硬件加速,在云服务器厂商中已有广泛 部署。数据中心使用 FPGA 芯片代替传统的 CPU 方案后,处理其自定义算法时 可实现显著的加速效果。因此近年来,微软 Azure、亚马逊 AWS、阿里云的服务 器上都开始部署 FPGA 加速器用于运算加速。在云计算大面积应用的背景下,未 来数据中心对芯片性能的要求将进一步提高,更多数据中心将采纳 FPGA 芯片方 案,这将提高 FPGA 芯片在数据中心芯片中的价值占比。


(1)芯片级别的能耗限制与暗硅效应问题


芯片制造工艺所遇到的瓶颈问题,始终影响着芯片设计的技术路线选择。 在半导体发展早期,Dennard 缩放定律(1974 年提出,其内容为:晶体 管功耗会随着尺寸变小而同比变小,使相同硅片面积下总功耗保持不变) 指引工业界不断实现工艺迭代,在每个新的工艺节点上使用更多的晶体 管、更快速率和更低功耗的晶体管,证明开发每个新工艺节点所需的巨 大成本具备商业合理性。 而 Dennard 缩放定律的停滞(当摩尔定律使晶体管尺寸下降到纳米级时, 量子隧穿等现象导致晶体管漏电,使晶体管的静态功耗不减反增,功率 密度上升,散热问题加剧)导致工业界竞相向多核架构发展,多核架构 实现了并行和多任务工作负载的性能扩展,仍然能够保证在商业合理范 围内提升处理器性能。 但随着多核缩放的优势开始消退,在摩尔定律逼近极限之前,多核的技 术路径已面临新的瓶颈——由于芯片散热技术和供电技术的限制,以及 成本限制,可承受的微处理器功耗在近 10 年的发展历程中并没有太大变 化,这导致在 10nm 或更先进工艺线下,以摩尔定律增加的晶体管在动 态运行期间必须通过关闭来实现功耗控制,即暗硅(Dark Silicon)问题。


暗硅现象逐渐带来了一种从传统低功耗设计到功耗预算设计的范式转变。目 前,多核系统设计模式的优化目标已转变为:在热约束条件下,估计芯片的功耗 上限值(称为功耗预算,芯片设计人员通常采用的功耗预算是热设计功耗,即 TDP), 并将其分配至各个核心或功能单元,从而使系统的整体性能达到最优。 异构计算是当前高性能计算的解决方案之一。在暗硅趋势与成本压力下, CPU+GPU 和 CPU+FPGA 异构计算是在通用性、生产成本和功耗下较为折中的 方案。随着先进工艺生产成本降低, 并且大量标准化处理器 IP 成熟的情况下, 不 排除高性能计算未来也会发展出在单个SoC芯片中大量集成不同类型加速器件的 其他异构方式。


(2)数据中心级别的能源成本与散热压力问题


超算数据中心性能飞速提升,能源耗用问题亟待解决。在今年举行的 ISSCC 2023 大会上,AMD CEO Lisa Su 指出,目前主流服务器的性能表现每 2.4 年实 现翻番,GPU 单精度浮点(Single Precision FLOPs)每 2.2 年性能实现翻番。


以此趋势增长至 2035 年,最高性能超算系统可能会从现在的 Exascale 量级 (10 的 18 次方)增长 1000 倍,达到 Zettascale 量级(10 的 21 次方)。一座 ZettaScale 数据中心的能耗可能会达到 500MW,几乎等同于一座核电站的放电 能力(1GW)的一半,远超过一座 Exascale 数据中心 21MW 的能耗量。


GPU 能够实现更高的并行计算峰值性能,但 FPGA 在功耗方面的优势对于 解决数据中心能源问题至关重要。以 Xilinx 与英伟达的旗舰产品作对比,基于Versal AI Core 的 VCK5000 Versal 开发卡比英伟达旗舰 GPU,能耗比和性价比 均提升 1 倍,能够实现 90%的计算效率和低于 100W 的功耗(板卡层面),因此 是为云端应用和边缘应用实现 CNN、RNN 和 NLP 加速的理想开发平台。Versal 系列针对 AI 应用设计的全新 ACAP 架构以及其性能表现上的优势,是 AMD 与 之战略合作的重要基础。


#2:数字芯片行业巨头的异构战略:CPU+GPU or CPU+FPGA?


英伟达作为 GPU 龙头,率先推出 CPU+GPU 异构 Superchip。英伟达率先 于 2022 年 GTC 大会提出将 Grace CPU 和 Hopper GPU 组成的 Superchip,并 于时隔一年后的 GTC 2023 手持展示芯片实物,该 Superchip 采用 900GB/s 的高 速一致性接口对 Grace CPU 和 Hopper GPU 进行互连,非常适合处理大型数据 集。随后,英伟达于 2023 年 5 月 COMPUTEX 大会上宣布生成式 AI 引擎 NVIDIA DGX GH200 已投入量产。NVIDIA DGX GH200 是将 256 个 NVIDIA Grace Hopper 超级芯片完全连接到单个 GPU 中的新型 AI 超级计算机,支持万亿参数 AI 大模型训练。


AMD 在 CPU+GPU 异构领域和英伟达正面较量。2023 年 6 月,AMD 推出 了早在年初 CES 2023 大会上披露的数据中心 APU(加速处理器)Instinct MI300 系列,其中“GPU+CPU”架构(APU)的 MI300A 现已开始提供样品,另外 MI300X 和 Instinct Platform 则将在 23Q3 提供样品,Q4正式推出。据 23年 5月 AMD 23Q1 电话会议披露,MI300 将在 23Q4 开始产生销售收入。MI300 是市场上首款 “CPU+GPU+内存”的一体化产品,在异构计算新格局之下,AMD 在这一快速 增长的市场上向英伟达的垄断地位发起挑战。 此外,AMD 也在 CPU+FPGA 异构领域积极布局。2022 年完成了对 FPGA 行业龙头 Xilinx 的收购后,在云计算、边缘计算和智能设备市场完成了重要战略 部署。除了重磅推出的 Instinct MI300 系列产品、以及传统优势产品 Ryzen 系列 处理器外,AMD 依托 Xilinx FPGA 的优势,吸纳了 Xilinx 原有的数据中心产品——Zynq UltraScale+ MPSoC 芯片、自适应数据中心平台 Versal AI 和相关 SoC 产 品,并推出了基于 AMD XDNA – Versal AI Core 架构的数据中心加速器卡 Alveo V70,积极打造 CPU+FPGA 组合优势。


Intel 在数据中心产品方面重点发力 CPU+FPGA 战略。自 2015 年完成对 Altera 的收购后,Intel为其成立专门的PSG 部门(Programmable Solution Group, 可编程解决方案事业部),2022 年通过业务重组将 FPGA 业务并入 DCAI 部门 (Data Center and AI Group,数据中心和人工智能事业部),形成芯片组合来竞 争数据中心与 AI 市场。23Q1 的投资者大会上,Intel 发布了 2023-2025 年 DCAI 架构图,展示了包括 CPU、GPU、FPGA 等芯片的发展规划。其中,Intel 计划于 2023 年推出 15 款 FPGA 新品,包括 Stratix,eASIC 和 Agilex 系列,刷新了 Intel FPGA 的年度推新纪录,有望为 CPU+FPGA 异构打开新格局。 此外,Intel 也在数据中心着手布局 GPU 产品线,曾计划推出 CPU+GPU 异 构产品。Intel 下一代数据中心 GPU Falcon Shores 原计划为一款采用混合架构的 XPU(CPU+GPU),目前已将其改为纯 GPU 解决方案,计划于 2025 年发布。


2.2. 其他应用领域:工业/通信 FPGA 基本盘稳健增长,汽车电子值得关注


数据中心应用以外,我们认为工业、通信及汽车电子是 FPGA 行业较为重要 的应用领域。(1)工业、通信作为 FPGA 行业主体应用领域,是支撑行业相对稳 定增长的关键,Frost&Sullivan 预期 2022-2025 年 CAGR 增速分别达到 15.6%、 17.4%。(2)汽车行业整体处于技术多样化和蓬勃发展时期,FPGA 的灵活性特 征使其能够良好适配车厂对解决方案的整合需求。虽然目前汽车电子在 FPGA 市 场份额不大,但未来技术发展迭代的方向仍然值得关注。


2.2.1. 工业领域:工业智能化、无人化趋势催生更多 FPGA 应用


FPGA 芯片在工业领域应用非常广泛,大量应用在视频处理、图像处理、数 控机床等领域实现信号控制和运算加速功能。受益于工业智能化、无人化的发展 趋势,FPGA 芯片高效能、实时性、高灵活性的特点使其在工业领域得到了广泛 应用。


(1)数控机床的伺服系统:传统的 ASIC 只能控制单一马达,FPGA 芯片可 以做到多通道的马达控制。在目前驱动马达所消耗的电力占据了全球能源消耗的 很大部分的情况下,能够精准实现多通道控制的 FPGA 芯片或将得到更多应用。


(2)LED 显示屏:当前主流的 LED 显示屏控制系统多以 FPGA 芯片或 FPGA 芯片结合其他芯片做为主控芯片。FPGA 芯片的现场可编程特性 1)可满足大型 LED 显示屏系统显示数据格式转换的需求,以满足各种形状和规格显示屏的定制, 2)也可满足其需要进行亮度、对比度、灰度级等参数灵活调节的需求,使 LED 显示屏得到更加细腻的显示画面。


2.2.2. 通信领域:灵活适配各类通信协议的应用与快速迭代


FPGA 芯片目前被大量应用在无线通信和有线通信设备中,实现接口扩展、 逻辑控制、数据处理、单芯片系统等各种功能。相较于其他类型芯片,FPGA 芯 片一方面依靠其运算速度可以有效满足通信领域高速的通信协议处理需求,另一 方面又可依靠其灵活性以适应通信协议持续迭代的特点。此外,FPGA 芯片对于 复杂信号、多维信号的处理能力较强,可较好适应日益复杂的网络环境。


2.2.3. 汽车电子:解决方案由分布式走向集成式,FPGA 提供灵活性


目前汽车行业的技术和架构都正在经历一个快速演变的过程,整车厂越来越 意识到来自不同 Tier 1 厂商的 ECU 之间彼此缺乏关联,需要投入大量时间和资金 加以整合。但网联汽车和自动驾驶的快速发展正在改变这一现状,传统的分布式 方案将被集成式方案取代,包括 ECU、传感器在内的硬件会得到高度整合;汽车 OEM 会更关注客户在接口软件层面上的创新,以及为终端客户提供差异化产品的 能力。FPGA 凭借可编程性的特征,在汽车电子领域逐渐崭露头角。


(1)智能座舱领域:以特斯拉为代表的造车新势力正通过将仪表板、信息娱 乐系统和屏幕显示进行高度集成,使得一块屏幕上需要展示的内容日趋丰富,加 之不同品牌车型间的显示器尺寸并不统一,从而对图像的分区切割显示和多元化 融合提出了较高要求。很多车厂目前都在利用 FPGA的可编程、并行处理能力强、 功耗低、散热少的特点,加速引入相关 FPGA 平台。


(2)车载视觉系统和人工智能技术:现在也被大量应用于驾驶员状态监测系 统(DMS)、车舱内监控系统(IMS)中,包括:监测驾驶员的疲劳、注意力涣散 或损伤;监控乘客,确保儿童/宠物不会被无意中锁在车内,或是包、手机和钱包 等物品不会无意中遗忘在车内。因此,图像增强会是极具前景的应用之一。例如, 对目前在汽车中大量使用的 LCD 屏幕,FPGA 可以作定制的背光优化,以提升视 觉效果和节约能耗。同时,为了支持车载 4K 显示,FPGA 对高速数据处理、接 口也进行了广泛的支持,包括 1.5G-2.5Gbps MIPI、HDMI、DisplayPort 等。


(3)高级驾驶辅助系统:最新数据显示,一辆 L3 级别的自动驾驶车辆将至 少配置 16 个以上的各型传感器,由于主处理器需要连接不同的传感器接口进行数 据处理,而且汽车接口也尚未实现真正标准化,所以利用 FPGA 的可编程特性对 不同传感器进行聚合/桥接,或是实现 I/O 接口扩展,也是新趋势之一。同时,考 虑到汽车平台的开发可能需要 5-10 年的时间,方案是否具备可拓展性也左右着用 户的选型决心。


2.3. 市场空间测算:“ChatGPT”点燃 AI 热潮,打开 FPGA 想象空间


FPGA 未来市场空间仍然广阔。根据 Frost&Sullivan 数据,全球 FPGA 市场 规模从 2016 年的约 43.4 亿美元增长至 2020 年约 60.8 亿美元,年均复合增长率 约为 8.8%。预计到 2025 年,全球 FPGA 市场将超过 120 亿美元。在中国市场, 预计 2025 年 FPGA 芯片销售额将达到 332.2 亿元,对应 2021 至 2025 年年均复 合增长率将达到 17.1%;预计 2025 年中国市场 FPGA 芯片出货量将达到 3.3 亿 颗,2021 至 2025 年年均复合增长率将达到 15.0%。


数据中心驱动力显现,2022 年 FPGA 已迎来爆发时刻。由于安路科技招股 书援引 Frost&Sullivan 的统计数据仅截至 2019 年,2022 年 FPGA 行业受益于数 据中心行业发展的驱动,市场规模迎来爆发式增长,同比增速接近 30%,远高于 Frost&Sullivan 曾经的预测数据 15.7%,因此我们对 FPGA 市场规模进行重新测 算。我们对全球主流 FPGA 芯片公司的收入数据进行不完全统计,主要包括 AMD (Xilinx)、Intel(Altera)、Lattice、Microchip(Microsemi)、紫光同创、复旦微 电、安路科技。由于 FPGA 市场集中度高,2019 年全球 FPGA 按出货量口径市 场份额 CR4 达到 94.4%,因此我们以全球主流 FPGA 公司营收数据作为市场规 模的大致估算。 (1)从国际厂商业绩来看,Intel(Altera)22Q4 收入同比大增 42%,22 年 全年同比增长 29%;Microchip(Microsemi)于 FY2023 年实现 FPGA 业务同比 31%的增长水平。 (2)国内公司表现更为亮眼,紫光同创 2022 年实现营收超 15 亿元,同比增长近 90%;安路科技 2022 年实现营收 10.42 亿元,同比增长 54%。 23Q1 季度 FPGA 行业也维持高速增长。AMD 公司嵌入式(Embedded)部 门 23Q1实现 16 亿美元营收,同比增长 9.67 亿美元;Intel 可编程解决方案(PSG) 部门 23Q1 营收同比增长 36%,连续实现创纪录的收入规模。


短期来看,市场中仍然存在周期性的波动。根据 AMD 业绩说明会指引,受 到一些积压库存的影响,预计 23Q2 嵌入式部门增速将有所放缓。 长期来看,根据 FPGA 龙头厂商 Intel(Altera)于 2023 年年中给出的市场 预测,2023 年市场增长预计将超过 16%,未来 5 年的增长势头向好,预计将继 续保持 10%以上的复合年均增长率。由 AI 行情引发的大模型热潮仍将持续推动 AI 服务器对于算力部署的需求,FPGA 有望依托于高灵活性、低功耗等特征持续 受益于 AI 服务器市场的增长。


3. 国产替代:从硬件实力到软件生态,关关难过关关过


3.1. FPGA 硬件已进入 7nm 复杂异构,高端领域国内外厂商实力悬殊


全球范围内 FPGA 产业的兴起与发展可分为两个阶段。(1)第一阶段是 20 世纪 80 年代开始的创业潮与行业垄断化。Lattice、Altera、Xilinx 和 Actel 在 1983~1985 年陆续成立,并迅速成长为 FPGA 行业四大龙头;2010 年后 Xilinx 和 Altera 已经占据 80%以上的市场份额,剩余份额则大部分被 Lattice 和 Actel 瓜分。(2)第二阶段是从 2010 年开始、以大型并购案为特点的行业洗牌。随着 半导体行业对 FPGA 的重视加大,Microchip、Intel 和 AMD 等半导体龙头纷纷下 场收购与整合 FPGA 业务,尤其是 Xilinx 和 Altera 分别与母公司 AMD 和 Intel 形 成 CPU+FPGA 的集合优势,战略部署数据中心应用。中国 FPGA 产业起步和发 展较晚。复旦微电子自 2004 年开始进行 FPGA 研发,布局相对较早,安路科技 和紫光同创也于 2011 和 2013 年相继成立。


我们根据 AMD(Xilinx)的官网信息对其 FPGA 及自适应 SoC 产品进行梳 理。根据参考安路科技招股书问询函回复中的描述,我们大致将 FPGA 芯片按逻 辑单元数量划分产品定位:将逻辑单元数在100K及以下的产品定位为低端产品, 将 100K-600K 的产品定位为中端产品,将 600K 及以上的产品定位为高端产品。 通过梳理可以看出,国际龙头厂商产品矩阵完整性高,覆盖面广。经过数十 年的发展,已形成全面覆盖从数十 K 至数千 K 个逻辑单元量级、从低端到高端的 全系列产品线,已可对工业控制、网络通信、消费电子、数据中心、汽车电子、 人工智能等主要下游领域形成全面覆盖。


AMD(Xilinx)FPGA相关产品矩阵主要包括:四大 FPGA产品系列(VIRTEX、 KINTEX、ARTIX、SPARTAN),以及集成度更高的两大自适应 SoC(Adaptive SoC) 系列(ZYNQ、VERSAL)。(1)从芯片制程来看,主要有 UltraScale+(16nm)、 UltraScale(20nm)、7 系列(28nm)和 6 系列(45nm)四大类,VERSAL 则是 整体升级为 7nm 制程。(2)从芯片架构来看,FPGA 单芯片向更先进工艺、更高 速电路结构、复杂异构 SoC 系统发展,AMD(Xilinx)的 VERSAL 系列产品中集 成了 PCIe、Ethernet 通信协议以及 AI 引擎、NoC 架构等。(3)从产品价格来看, 随着产品定位从低端走向高端,FPGA 容量等指标不断提升,通信架构逐渐升级, 对应开发板的价格也从数百美元逐步增加到一万多美元。(4)从应用领域来看, 数据中心等高端应用所适用的 FPGA 芯片大多为高端 FPGA 产品线,仅有少部分 中高端 FPGA 也能够满足数据中心网络加速需求。


观点#1:在高端 FPGA 硬件水平上,国产厂商与国际龙头尚存在较大差距


首先在制造工艺方面,AMD(Xilinx)Versal 系列已经进入 7nm 制程工艺, Intel(Altera)与之对标的 Agilex 系列也已采用 Intel 7 制程工艺。国产厂商目前 尚处于 28nm 的技术节点,且在 28nm 产品的推出节点上落后于两大国际龙头厂商近十年。作为 FPGA 芯片性能的基础性指标,芯片制程仍然是国产替代突围的 关键。


其次是硬件架构方面,国际龙头已经开始在高端产品中使用先进的 NoC 片上 通信网络架构以及兼顾内存容量与带宽的 HBM 存储架构,AMD(Xilinx)更是开 创 ACAP 的新型异构模式,因此架构创新与升级也是国产厂商未来发展需要攻克 的难关。 在应用领域方面,行业龙头企业 AMD(Xilinx)、Intel(Altera)的产品已可 对工业控制、网络通信、消费电子、数据中心、汽车电子、人工智能等主要下游 领域形成全面覆盖,国产厂商现阶段的产品线尚有不足。与硬件水平的问题殊途 同归,国产 FPGA 首先需要突破先进的硬件技术,才能够在高端应用领域占有一 席之地,而目前国产 FPGA 尚处于中低端市场,自主可控道阻且长。


3.2. AMD、Intel 双寡头主导市场,中端市场国产化机遇显现


全球市场竞争格局稳定,国际龙头占据绝对市场份额。根据 Frost&Sullivan 数据,2019 年全球前四大 FPGA 厂商合计占据 94.4%的市场份额,其中,AMD (Xilinx)、Intel(Altera)分别占据 51.7%、33.7%的绝对份额,具有难以撼动的 市场地位。


中国市场竞争格局主要呈现两大特点:(1)国产 FPGA 厂商开始崛起。近年 来,随着国产替代趋势渐渐进入 FPGA 市场,国产 FPGA 厂商已占有一席之地。 据我们测算,主要国产 FPGA 设计公司合计营业收入自 2018 年的 2 亿元增长至 2022 年的 33 亿元,2022 年全球市占率已超过 5%(按本文 2.3 测算口径),国产 化进程大步推进。(2)国际龙头厂商在中国市场的占有率略低于全球市场(出货 量口径)。2019 年,AMD(Xilinx)、Intel(Altera)在中国市场的份额为 36.6%/25.3% (出货量口径),低于其在全球市场 51.7%/33.7%的份额。


从需求侧看:中国 FPGA 市场目前以容量<500K、制程在 28-90nm 的产品 为主,中低端市场空间更为广阔。根据 Frost&Sullivan 数据,(1)按逻辑单元拆 分,目前 100K 以下逻辑单元的 FPGA 芯片仍是市场需求量最大的部分,其次为 100K-500K 逻辑单元部分。500K 以下逻辑单元的 FPGA 芯片合计占有 69.9%的 市场份额(2019 年中国市场,销售额口径)。(2)按制程拆分,目前 28nm-90nm 制程区间内的 FPGA 芯片由于其较高的性价比与较高的良品率依然占据了市场中 63.3%的份额(2019 年中国市场,销售额口径)。此外,由于先进制程产品具有 更低功耗与面积和更高的性能,28nm 以下制程的 FPGA 芯片预计将快速发展。


从供给侧看:国际龙头对中端 FPGA 投入放缓,中端市场迎来机遇。2022 年 9 月,AMD 宣布 Xilinx 7 系列产品的生命周期将至少延长至 2035 年,这意味 着在中端 FPGA 领域,AMD 的战略是维持旧有市场,而非增加投入。这为原本在 低端市场发展的 FPGA 厂商带来机遇。


Lattice 随即于 2022 年 12 月推出全新低功耗中端 AvantTM 平台,roadmap 剑指中端市场。AvantTM平台的开发是基于 NexusTM这一小型 FPGA 平台取得的 创新成果,迄今为止 Lattice 共发布了 5 款基于 NexusTM的器件,除了 NexusTM 器件外,公司计划在未来几年持续推出基于 AvantTM的 FPGA 产品。


Lattice AvantTM 平台为中端 FPGA 打造低功耗、先进互连和优化的计算能力, 技术指标相较于 AMD(Xilinx)、Intel(Altera)同类竞品而言有所提升。市场上 主流的中端 FPGA 产品主要有 Intel(Altera)的 Arria V GZ(450K 逻辑单元)和 AMD(Xilinx)的 Kintex-7(478K 逻辑单元)。与之相比,Lattice AvantTM系列 产品具有以下特性:(1)市场领先的低功耗:功耗比同类竞品器件低 2.5 倍,帮 助系统和应用工程师提高功耗和散热设计的效率、降低运营成本、增强可靠性; (2)同类器件中领先的高性能:与同类竞品器件相比,性能最高提升 2 倍,可提 供更高的带宽并减少 SERDES 链路,降低系统成本及尺寸;(3)行业领先的小尺 寸:与同类竞品器件相比,封装尺寸减小多达 6 倍,可实现高效的小尺寸系统设 计。


中端市场机遇之下,国产 FPGA 公司也选择趁势而为。安路科技同样于 22 年 12 月发布高性能 PH1A 系列 FPGA 和低功耗 ELF3 系列 FPGA 等新品。其中 PH1A 系列 FPGA,即定位于高性价比可编程逻辑市场。 通过与 Lattice 同期中端产品相比,安路科技 PH1A 系列尚存在差距。我们选 择安路科技 PH1A 系列与 Lattice Avant-E 系列中容量最大的两款产品进行比较, 发现 Lattice 产品在制程、LUTs、DSP 等指标上均具备优势,其开发板价格定位 也相对更高。安路科技目前相较于 Lattice 定位更偏向于中低端市场,国产替代 仍有可发掘空间。


观点#2:国产厂商渗透率不断提升,中低端市场带来国产替代强大驱动力


从市场层面看:FPGA 供需两侧为国产替代营造有利格局,国产化已初显成 效。国内 FPGA 市场对中低端产品需求旺盛,国产 FPGA 可触达市场空间依然广 阔。FPGA龙头厂商被CPU龙头收购,未来战略向母公司数据中心相关业务倾斜, 国产 FPGA 获得进入中端市场的机遇和空间。 从公司层面看:(1)国产 FPGA 已形成 28nm 产品系列,进军更先进制程。 复旦微于 2018 年率先推出 28nm 亿门级 FPGA,安路科技与紫光同创于 2020 年 先后来到 28nm 节点。各公司在更先进制程均已进行多年研发与积累,剑指国产 FPGA 尚未触及的高端市场。(2)新兴领域积极布局,寻求更大市场空间。安路 科技积极开拓汽车电子、数据中心、运算加速等新兴市场,复旦微新一代配置有 APU、GPU、VPU、eFPGA、AI 引擎的异构智能 PSoC 产品——FPAI 也成功发 布,紫光同创第二代面向人工智能、机器视觉等领域的 SoPC 已经启动研发。FPGA 行业广阔的市场空间仍将持续驱动国产 FPGA 厂商加速成长。


国产 FPGA 公司营收体量相差不大,竞争优势各不相同。安路科技的主要优 势在于小型 FPGA 产品的市场基础扎实,在工业控制、LED 等行业出货量较大。 复旦微 FPGA 产品主要应用于高可靠等特定领域,毛利率水平普遍较高。紫光同 创背靠紫光集团,与长江存储、紫光展锐、新华三等同属一系,在 5G 通信行业 上下游产业链进行了布局,拥有较强的融资能力和市场运作能力。


通过将产品线与营收体量对比来看,先进制程对 FPGA 厂商营收带动明显。 复旦微进入市场较早,2018 年其 FPGA 营收显著高于安路科技、紫光同创。随着 2020 年安路科技与紫光同创相继推出 28nm 新制程产品,营收体量快速成长, 2019-2022 年营收 CAGR 分别达到 104%、145%,略快于复旦微 71%的 CAGR 增速。


3.3. EDA 工具构筑核心壁垒,软件生态与 FPGA 硬件相辅相成


FPGA 软件是 FPGA 产品开发的关键,专用 EDA 工具与 FPGA 芯片使用性 能深度绑定。FPGA 芯片在制造完成后,其功能并未固定,用户可以根据自己的 实际需要,将自己设计的电路通过 FPGA 芯片公司提供的专用 EDA 软件对 FPGA 芯片进行功能配置,从而将空白的 FPGA 芯片转化为具有特定功能的集成电路芯 片。专用 EDA 软件质量的参差,不仅会决定最终 FPGA 芯片能否实现工程师期 望的功能,还将最终决定芯片运行的速度、功耗。因此,FPGA 芯片公司不仅仅 是集成电路设计企业,还必须是集成电路 EDA 软件企业。


FPGA 软件设计环节复杂,逻辑综合工具和设计实现部分研发难度高。用户 端在使用 FPGA 时,需将 RTL 代码通过逻辑综合工具、映射工具、布局布线工具、 配置数据流生成工具、编程下载工具等生成下载到芯片里,结合时序和功耗分析 工具以及在线调试工具,完成用户应用在 FPGA 中的实现的整个过程。在整个 FPGA 软件工具设计流程中,除了仿真工具借助第三方工具实现,其他环节基本 都需要 FPGA 厂商自己的软件工具覆盖,特别是以逻辑综合工具和设计实现部分为代表的环节,存在着较大研发难度。其中,布局、布线两个环节作为核心技术 难关尤为难以攻克。 海外 FPGA 厂商已建立成熟的 EDA 软件生态环境。AMD(Xilinx)研发的 Vitis、Vivado 以及 Vitis AI 设计工具可以帮助 AI 科学家、应用与算法工程师、嵌 入式软件开发人员以及传统硬件开发人员等所有类型的开发人员使用 AMD 自适 应计算解决方案。Intel(Altera)Quartus Prime 软件包含从设计输入和合成到优 化、验证和模拟的所有步骤,另有 Questa 等多款其他软件,覆盖 FPGA、CPLD 和 SoC FPGA 的完整开发工具。Lattice 也具有 Diamond、Radiant、Propel 等设 计工具,提供专为 Lattice 器件而优化的设计流程。


国产 FPGA 厂商亦不落下风,研发投入占比较大。紫光同创 Pango Design Suite 软件开发工具是一款拥有国产自主知识产权的大规模 FPGA 开发软件,可 以支持千万门级 FPGA 器件的设计开发。复旦微也已具备全流程自主知识产权 FPGA 配套 EDA 工具 ProciseTM。安路科技 TangDynasty、FutureDynasty 是其 自主开发的 FPGA、FPSoC 集成开发环境,其中 FutureDynasty 可以实现 RISC-V 工程的编译与调试,根据其招股书披露数据,2018-2021H1,FPGA 及 FPSoC 软件研发相关投入分别占总研发投入的 25%/30%/26%/19%,软件工具的研发亦 成为 FPGA 公司研发投入的重要组成部分。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)


相关报告

半导体行业FPGA专题报告:“万能”芯片点燃成长新动力,国产替代未来可期.pdf

锡行业研究报告:半导体上游核心材料,供给趋紧+需求复苏下价格中枢有望持续提升.pdf

京仪装备研究报告:国内半导体专用温控废气处理设备专精特新“小巨人”.pdf

半导体设备行业专题报告:键合设备,推动先进封装发展的关键力量.pdf

半导体封装设备行业深度报告: 后摩尔时代封装技术快速发展,封装设备迎国产化机遇.pdf

半导体行业投资策略:AI有望推动新一轮半导体周期上行.pdf

FPGA行业专题分析:FPGA的国产替代现在是什么情况?未来是哪些方向?.pdf

FPGA行业专题分析:如何理解FPGA商业模式?龙头竞争优势的来源?.pdf

FPGA行业专题分析:FPGA在各行业究竟用在哪里?未来哪个下游最有机会?.pdf

安路科技研究报告:FPGA国产化龙头,凤凰天地阔,涅槃终有时.pdf

复旦微电研究报告:国产FPGA龙头,四大产品线驱动成长.pdf

汽车智能驾驶芯片行业专题报告:充分重视OEM自研智驾芯片的长期意义.pdf

电机驱动芯片行业研究报告:见微知著,国产替代加速渗透.pdf

利扬芯片研究报告:第三方测试老牌劲旅,有望迎来发展新机遇.pdf

淳中科技研究报告 :自研芯片发布在即,静待业绩拐点出现.pdf

科德教育研究报告:手握国产AI芯片独角兽股权,价值亟待重估.pdf

FPGA:“万能”芯片点燃成长新动力,国产替代未来可期.pdf-第一页
联系烽火研报客服烽火研报客服头像
  • 服务热线
  • 4000832158
  • 客服微信
  • 烽火研报客服二维码
  • 客服咨询时间
  • 工作日8:30-18:00